@COMMENT{ ==================================================================== } @COMMENT{ This version of async.bib was generated on Mon, Apr 03, 2000 } @COMMENT{ This version of async.bib contains 1319 items } @COMMENT{ ==================================================================== } @COMMENT{ ==================================================================== } @COMMENT{ BibTeX database file, for use with LaTeX/BibTeX } @COMMENT{ ==================================================================== } @COMMENT{ database : asynchronous } @COMMENT{ e-mail : async-bib(at)win.tue.nl } @COMMENT{ URL : http://www.win.tue.nl/cs/pa/wsinap/doc/async.bib } @COMMENT{ URL : http://www.win.tue.nl/cs/pa/wsinap/doc/async.html } @COMMENT{ URL : http://www.win.tue.nl/cs/pa/wsinap/async.html } @COMMENT{ ==================================================================== } @COMMENT{ author : Ad Peeters } @COMMENT{ address : Philips Research Laboratories } @COMMENT{ Bldg. WL-01, Prof. Holstlaan 4 } @COMMENT{ 5656 AA Eindhoven } @COMMENT{ The Netherlands } @COMMENT{ ==================================================================== } @PREAMBLE{"\newcommand{\noopsort}[1]{}"} @COMMENT{ ==================================================================== } @COMMENT{ Predefined journals } @COMMENT{ ==================================================================== } @STRING{cacm = "Communications of the ACM"} @STRING{dc = "Distributed Computing"} @STRING{eatcs= "Bull. EATCS"} @STRING{el = "Electronics Letters"} @STRING{fmsd = "Formal Methods in System Design"} @STRING{faoc = "Formal Aspects of Computing"} @STRING{ieicetf = "IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences"} @STRING{ieicetis= "IEICE Transactions on Information and Systems"} @STRING{ieeproc = "Proceedings of the IEE"} @STRING{ieepcds = "IEE Proceedings, Circuits, Devices and Systems"} @STRING{ieepcdt = "IEE Proceedings, Computers and Digital Techniques"} @STRING{ieeedt = "IEEE Design \& Test of Computers"} @STRING{ieeejssc= "IEEE Journal of Solid-State Circuits"} @STRING{ieeeproc= "Proceedings of the IEEE"} @STRING{ieeetas = "IEEE Transactions on Applied Superconductivity"} @STRING{ieeetc = "IEEE Transactions on Computers"} @STRING{ieeetcad= "IEEE Transactions on Computer-Aided Design"} @STRING{ieeetcsi= "IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications"} @STRING{ieeetec = "IEEE Transactions on Electronic Computers"} @STRING{ieeetsp = "IEEE Transactions on Signal Processing"} @STRING{ieeevlsi= "IEEE Transactions on VLSI Systems"} @STRING{integration= "Integration, the VLSI journal"} @STRING{ije = "Int. Journal Electronics"} @STRING{ipl = "Information Processing Letters"} @STRING{jacm = "Journal of the ACM"} @STRING{jetta= "Journal of Electronic Testing: Theory and Applications"} @STRING{jcss = "Journal of Computer and System Sciences"} @STRING{tcca = "IEEE Technical Committee on Computer Architecture Newsletter"} @STRING{tcs = "Theoretical Computer Science"} @STRING{vlsisp= "Journal of VLSI Signal Processing"} @COMMENT{ ==================================================================== } @COMMENT{ Predefined publishers } @COMMENT{ ==================================================================== } @STRING{ap = "Academic Press"} @STRING{aw = "Addison-Wesley"} @STRING{csp = "Computer Science Press"} @STRING{cwi = "CWI"} @STRING{esp = "Elsevier Science Publishers"} @STRING{icsp = "IEEE Computer Society Press"} @STRING{ieee = "IEEE Press"} @STRING{ios = "IOS Press"} @STRING{kap = "Kluwer Academic Publishers"} @STRING{mit = "MIT Press"} @STRING{ph = "Prentice-Hall"} @STRING{springer= "Springer-Verlag"} @STRING{wiley= "John Wiley \& Sons"} @COMMENT{ ==================================================================== } @COMMENT{ Predefined series } @COMMENT{ ==================================================================== } @STRING{caltech= "California Institute of Technology"} @STRING{csn = "Computing Science Notes"} @STRING{eut = "Dept. of Math. and C.S., Eindhoven Univ. of Technology"} @STRING{ifip = "IFIP Transactions"} @STRING{lncs = "Lecture Notes in Computer Science"} @STRING{mctracts= "Mathematical Centre Tracts"} @STRING{mcu ="Department of Computer Science, University of Manchester"} @STRING{southbank= "School of Computing, Information Systems and Mathematics, South Bank University, London"} @STRING{su = "Stanford University"} @STRING{ucb = "U.C. Berkeley"} @STRING{ucd = "University of California, Davis"} @STRING{upc = "Universitat Polit{\`e}cnica de Catalunya"} @STRING{utahcs= "Department of Computer Science, University of Utah"} @STRING{wic = "Workshops in Computing"} @COMMENT{ ==================================================================== } @COMMENT{ Predefined conference proceedings } @COMMENT{ ==================================================================== } @STRING{acsd = "Int. Conf. on Application of Concurrency to System Design"} @STRING{adm = "Asynchronous Design Methodologies"} @STRING{apchdl= "Asia-Pacific Conference on Hardware Description Languages (APCHDL)"} @STRING{arvlsi= "Advanced Research in VLSI"} @STRING{aspdac= "Proc. of Asia and South Pacific Design Automation Conference"} @STRING{async = "Proc. International Symposium on Advanced Research in Asynchronous Circuits and Systems"} @STRING{ats = "Proc. of the Asian Test Symposium"} @STRING{cav = "Proc. International Workshop on Computer Aided Verification"} @STRING{custom= "Proc. IEEE Custom Integrated Circuits Conference"} @STRING{dac = "Proc. ACM/IEEE Design Automation Conference"} @STRING{date = "Proc. Design, Automation and Test in Europe (DATE)"} @STRING{dcc = "Designing Correct Circuits"} @STRING{edac = "Proc. European Conference on Design Automation (EDAC)"} @STRING{edtc = "Proc. European Design and Test Conference"} @STRING{eurodac="Proc. European Design Automation Conference (EURO-DAC)"} @STRING{esscirc="Proc. European Solid-State Circuits Conference (ESSCIRC)"} @STRING{ftcs = "International Symposium on Fault-Tolerant Computing (FTCS)"} @STRING{glsvlsi="Proc. of the Great Lakes Symposium on VLSI"} @STRING{hicss = "Proc. Hawaii International Conf. System Sciences"} @STRING{hwpn = "Proc. of the Workshop Hardware Design and {Petri} Nets (within the International Conference on Application and Theory of Petri Nets)"} @STRING{iccad = "Proc. International Conf. Computer-Aided Design (ICCAD)"} @STRING{iccd = "Proc. International Conf. Computer Design (ICCD)"} @STRING{icpp = "Proc. International Conference on Parallel Processing"} @STRING{iscas = "Proc. International Symposium on Circuits and Systems"} @STRING{ishls = "Proc. International Symposium on High-Level Synthesis"} @STRING{ismvl = "Proc. IEEE International Symposium on Multiple-Valued Logic"} @STRING{isscc = "International Solid State Circuits Conference"} @STRING{islped= "International Symposium on Low Power Electronics and Design"} @STRING{itc = "Proc. International Test Conference"} @STRING{ivlsi = "Proc. International Conference on VLSI Design"} @STRING{iwls = "Proc. International Workshop on Logic Synthesis"} @STRING{midwest="Proc. of the Midwest Symposium on Circuits and Systems"} @STRING{patmos= "Power and Timing Modeling, Optimization and Simulation (PATMOS)"} @STRING{prorisc="Proc. of the {IEEE/ProRISC} Symposium on Circuits, Systems and Signal Processing"} @STRING{ssat = "Annual Symposium on Switching and Automata Theory"} @STRING{tau = "Proc. International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU)"} @STRING{vts = "Proc. IEEE VLSI Test Symposium"} @STRING{ta = "(To appear)"} @COMMENT{ ==================================================================== } @COMMENT{ Reference to async.bib } @COMMENT{ ==================================================================== } @MISC{async-homepage, author = "Jim Garside", title = "{T}he {A}synchronous {L}ogic {H}omepage", url = "http://www.cs.man.ac.uk/amulet/async/", howpublished= "{\tt http://www.cs.man.ac.uk/amulet/async/}", organization= "Manchester University" } @MISC{async-bib, author = "Ad Peeters", title = "{The `Asynchronous' Bibliography ({\sc Bib}\TeX)\ database file {\tt async.bib}}", url = "http://www.win.tue.nl/cs/pa/wsinap/doc/async.bib", html = "http://www.win.tue.nl/cs/pa/wsinap/doc/async.html", postscript = "http://www.win.tue.nl/cs/pa/wsinap/doc/async.ps", howpublished= "{\tt http://www.win.tue.nl/cs/pa/wsinap/doc/async.bib}", note = "Corresponding e-mail address: {\tt async-bib@win.tue.nl}", organization = eut } @MISC{async-bib-home, author = "Ad Peeters", title = "{The `Asynchronous' Bibliography Homepage}", html = "http://www.win.tue.nl/cs/pa/wsinap/async.html", howpublished= "{\tt http://www.win.tue.nl/cs/pa/wsinap/async.html}", } organization = eut } @MISC{EDIS, author = "Tom Verhoeff", title = "{Encyclopedia of Delay-Insensitive Systems (EDIS)}", html = "http://www.win.tue.nl/cs/pa/edis/edis.html", howpublished = "{\tt http://www.win.tue.nl/cs/pa/edis/edis.html}", organization = eut } @COMMENT{ ==================================================================== } @COMMENT{ Async Proceedings } @COMMENT{ ==================================================================== } @PROCEEDINGS{Async94, title = "International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC'94)", publisher= icsp, address= "Salt Lake City, Utah", month = nov, year = 1994 } @PROCEEDINGS{Async96, title = "Second International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC'96)", publisher= icsp, address= "Aizu-Wakamatsu, Fukushima, Japan", month = mar, year = 1996 } @PROCEEDINGS{Async97, title = "Third International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC'97)", publisher= icsp, address= "Eindhoven, The Netherlands", html = "http://computer.org/proceedings/async/7922/7922toc.htm", month = apr, year = 1997 } @PROCEEDINGS{Async98, title = "Fourth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC'98)", publisher= icsp, address= "San Diego, CA", html = "http://computer.org/proceedings/async/8392/8392toc.htm", month = apr, year = 1998 } @PROCEEDINGS{Async99, title = "Fifth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC'99)", publisher= icsp, address= "Barcelona, Spain", html = "http://computer.org/proceedings/async/0031/0031toc.htm", month = apr, year = 1999 } @PROCEEDINGS{Async2000, title = "Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC2000)", publisher= icsp, address= "Eilat, Israel", html = "http://atlantis.technion.ac.il/Async2000/", month = apr, year = 2000 } @COMMENT{ ==================================================================== } @COMMENT{ Body of database } @COMMENT{ ==================================================================== } @INPROCEEDINGS{Acosta95, author = "A. J. Acosta and M. Bellido and M. Valencia and A. Barriga and R. Jim\'enez and J. L. Huertas", title = "New {CMOS VLSI} Linear Self-Timed Architectures", pages = "14--23", booktitle= adm, publisher= icsp, month = may, year = 1995 } @ARTICLE{Acosta98, author = "A. J. Acosta and R. Jim\'enez and A. Barriga and M. J. Bellido and M. Valencia and J. L. Huertas", title = "Design and characterisation of a {CMOS} {VLSI} self-timed multiplier architecture based on a bit-level pipelined-array structure", journal= ieepcds, pages = "247--253", volume = 145, number = 4, month = aug, year = 1998 } @ARTICLE{Adams94, author = "Robert Adams and Tom Kwan", title = "A Stereo Asynchronous Digital Sample-Rate Converter for Digital Audio", pages = "481--488", journal= ieeejssc, volume = 29, number = 4, month = apr, year = 1994 } @ARTICLE{Afghahi92, author = "Morteza Afghahi and Christer Svensson", title = "Performance of Synchronous and Asynchronous Schemes for {VLSI} Systems", pages = "858--872", journal= ieeetc, volume = 41, number = 7, month = jul, year = 1992 } @INPROCEEDINGS{Aghdasi91, author = "Farhad Aghdasi", title = "Pass-Transistor Self-Clocked Asynchronous Sequential Circuits", booktitle= "Proceedings of {VLSI} 91", pages = "9.1.1--9.1.9", year = 1991 } @ARTICLE{Aghdasi91a, author = "F. Aghdasi and M. Bolton", title = "Self-clocked Asynchronous Finite State Machine Design with {PAL22IP6} device", journal= "Microprocessors and Microsystems", month = feb, year = 1991 } @INPROCEEDINGS{Aghdasi91b, author = "Farhad Aghdasi", title = "Synthesis of asynchronous sequential machines for {VLSI} applications", booktitle= "International Conference on Concurrent Engineering and Electronic Design Automation (CEEDA)", pages = "55--59", month = mar, year = 1991 } @INPROCEEDINGS{Aghdasi92, author = "Farhad Aghdasi", title = "Asynchronous state machine synthesis using data driven clocks", pages = "9--14", booktitle= eurodac, address= "Hamburg, Germany", publisher= icsp, month = sep, year = 1992 } @INPROCEEDINGS{Aghdasi94, author = "Farhad Aghdasi", title = "Self-clocked controllers---a practical example", editor = "O. M. Strydom", booktitle= "Proceedings of Computer Systems Symposium (COMPSYS-94)", pages = "67--74", month = oct, year = 1994 } @INPROCEEDINGS{Ahmed97, author = "J. Ahmed and S. G. Zaky", title = "Asynchronous Design in Dynamic {CMOS}", editor = "P. Thorburn and J. Quaiceo", booktitle="Canadian Conference on Electrical and Computer Engineering", volume = 2, pages = "528--531", year = 1997 } @PHDTHESIS{Akella92, author = "Venkatesh Akella", title = "An Integrated Framework for the Automatic Synthesis of Efficient Self-timed Circuits from Behavioral Specifications", school = utahcs, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/VAThesis.ps.Z", year = 1992 } @INPROCEEDINGS{Akella92a, author = "V. Akella and G. Gopalakrishnan", title = "{SHILPA}: A High-Level Synthesis System for Self-Timed Circuits", pages = "587--591", booktitle= iccad, publisher= icsp, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/shilpa.ps.Z", month = nov, year = 1992 } @ARTICLE{Akella94, author = "Venkatesh Akella and Ganesh Gopalakrishnan", title = "Specification and Validation of Control-Intensive {IC}'s in {hopCP}", journal= "IEEE Transactions on Software Engineering", pages = "405--423", volume = 20, number = 6, year = 1994 } @INPROCEEDINGS{Akella96, author = "V. Akella and N. H. Vaidya and R. Redinbo", title = "Limitations of {VLSI} Implementation of Delay-Insensitive Codes", booktitle= ftcs, month = jun, year = 1996 } @ARTICLE{Akella98, author = "Venkatesh Akella and Nitin H. Vaidya and G. Robert Redinbo", title = "Asynchronous Comparison-Based Decoders for Delay-Insensitive Codes", journal= ieeetc, pages = "802--811", volume = 47, number = 7, month = jul, year = 1998 } @TECHREPORT{Akella99, author = "Venkatesh Akella and Ganesh Gopalakrishnan", title = "Flow Analysis Techniques in High Level Asynchronous Circuit Synthesis", institution= ucd, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/flow-analysis.ps.Z", year = 1999 } @INPROCEEDINGS{Alves98, author = "Vladimir C. Alves, Felipe M. G. Franca and Edson P. Granja", title = "A {BIST} Scheme for Asynchronous Logic", booktitle=ats, html = "http://computer.org/proceedings/ats/8277/82770027abs.htm", year = 1998 } @INPROCEEDINGS{Amon93, author = "Tod Amon and Henrik Hulgaard and Steven M. Burns and Gaetano Borriello", title = "Algorithm for Exact Bounds on the Time Separation of Events in Concurrent Systems", booktitle= iccd, postscript= "http://www.cs.washington.edu/research/projects/lis/oetools/www/papers/iccd93.ps", pages = "166--173", year = 1993 } @INPROCEEDINGS{Amon99, author = "T. Amon and H. Hulgaard", title = "Symbolic Time Separation of Events", pages = "83--93", booktitle= async, month = apr, year = 1999 } @ARTICLE{Anantharaman86, author = "T. S. Anantharaman", title = "A delay insensitive regular expression recognizer", journal= "IEEE VLSI Technical Bulletin", volume = 1, number = 2, year = 1986 } @INPROCEEDINGS{Angel94, author = "Angel, A. de and E. Swartzlander Jr.", title = "A new asynchronous multiplier using enable/disable {CMOS} differential logic", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @ARTICLE{Anonymous73, author = "Anonymous", title = "Science and the citizen", journal= "Scientific American", volume = 228, pages = "43--44", year = 1973 } @INPROCEEDINGS{Antognetti92, author = "P. Antognetti and P. Danielli and A. De Gloria and P. Faraboschi and M. Olivieri", title = "A standard cell set for delay-insensitive {VLSI} design", booktitle= "ASIC 92", year = 1992 } @ARTICLE{Appleton95, author = "Sam S. Appleton and Shannon V. Morton and Michael J. Liebelt", title = "The Design of a Fast Asynchronous Microprocessor", journal= tcca, month = oct, year = 1995 } @INPROCEEDINGS{Appleton95a, author = "Sam S. Appleton and Shannon V. Morton and Michael J. Liebelt", title = "Cache design for an asynchronous VLSI RISC processor", booktitle= "Proceeding of Microelectronics 1995", pages = "91--96", month = jul, year = 1995 } @ARTICLE{Appleton96, author = "Sam S. Appleton and Shannon V. Morton and Michael J. Liebelt", title = "Technique for High Speed Asynchronous Pipeline Control", pages = "1973--1974", journal= el, volume = 32, number = 21, month = oct, year = 1996 } @INPROCEEDINGS{Appleton97, author = "Sam S. Appleton and Shannon V. Morton and Michael J. Liebelt", title = "A New Method for Asynchronous Pipeline Control", booktitle= glsvlsi, pages = "100--104", year = 1997 } @INPROCEEDINGS{Appleton97a, author = "Sam S. Appleton and Shannon V. Morton and Michael J. Liebelt", title = "Two-Phase Asynchronous Pipeline Control", pages = "12--21", booktitle= async, publisher= icsp, month = apr, year = 1997 } @ARTICLE{Appleton97b, author = "S. Appleton and S. Morton and M. Liebelt", title = "High performance two-phase asynchronous pipelines", journal= ieicetis, volume = "E80-D", number = 3, pages = "287--295", month = mar, year = 1997 } @ARTICLE{Armstrong68, author = "D. B. Armstrong and A. D. Friedman and P. R. Menon", title = "Realization of Asynchronous Sequential Circuits Without Inserted Delay Elements", journal= ieeetc, volume = "C-17", number = 2, pages = "129--134", month = feb, year = 1968 } @ARTICLE{Armstrong69, author = "Douglas B. Armstrong and Arthur D. Friedman and Premachandran R. Menon", title = "Design of Asynchronous Circuits Assuming Unbounded Gate Delays", journal= ieeetc, volume = "C-18", number = 12, pages = "1110--1120", month = dec, year = 1969 } @INPROCEEDINGS{Arvind94, author = "D. K. Arvind and V. E. F. Rebello", title = "Instruction-level parallelism in asynchronous processor architectures", editor = "M. Moonen and F. Catthoor", booktitle="Proc. of the Third Int. Workshop on Algorithms and Parallel VLSI Architectures", pages = "203--215", publisher= esp, month = aug, year = 1994 } @INPROCEEDINGS{Arvind95, author = "D. K. Arvind and R. D. Mullins and V. E. F. Rebello", title = "Micronets: A Model for Decentralising Control in Asynchronous Processor Architectures", pages = "190--199", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Arvind95a, author = "D. K. Arvind and V. E. F. Rebello", title = "On the Performance Evaluation of Asynchronous Processor Architectures", editor = "P. Dowd and E. Gelenbe", booktitle= "Proceedings of the 3rd International Workshop on Modelling Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS'95)", pages = "100-105", publisher= icsp, address= "Durham, NC, USA", month = jan, year = 1995 } @INPROCEEDINGS{Arvind96, author = "D. K. Arvind and V. E. F. Rebello", title = "Optimisation of Instruction Schedules for Micronet-based Asynchronous Processors", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Arya86, author = "P. Arya and E. H. Frank", title = "The Design and Implementation of a {VLSI} Multiplier Generator", booktitle= "The Fifth Australian and Pacific Region Microelectronics Conference", year = 1986 } @INPROCEEDINGS{Asada87, author = "Katsuhiko Asada and Hiroaki Terada", title = "Hardware structure of a one-chip data-driven processor: {Q-p}", booktitle= icpp, editor = "Sartaj K. Sahni", pages = "327--329", month = aug, year = 1987 } @ARTICLE{Asai91, author = "F. Asai and S. Komori and T. Tamura", title = "Self-timed design for a data-driven microprocessor", journal= "IEICE Transactions", volume = "E 74", number = 11, pages = "3757--3765", month = nov, year = 1991 } @INPROCEEDINGS{Ashkinazy70, author = "Aaron Ashkinazy", title = "Fault detection experiments for asynchronous sequential machines", pages = "88--96", booktitle= ssat, publisher= icsp, year = 1970 } @INPROCEEDINGS{Ashkinazy94, author = "Aaron Ashkinazy and Doug Edwards and Craig Farnsworth and Gary Gendel and Shiv Sikand", title = "Tools for Validating Asynchronous Digital Circuits", pages = "12--21", booktitle= async, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/Async94.ps.Z", month = nov, year = 1994 } @INPROCEEDINGS{Auletta93, author = " R. Auletta and B. Beese and C. Traver", title = "A comparison of synchronous and asynchronous {FSMD} designs", booktitle= iccd, pages = "178--182", year = 1993 } @INPROCEEDINGS{Aumann95, author = "O. Aumann and H.-J. Pfleiderer", title = "Design of Self-Timed Pipelined Architectures Using {Petri} Nets", booktitle= patmos, month = oct, year = 1995 } @INPROCEEDINGS{Baake95, author = "U. Baake and S. A. Huss", title = "Logic Reduction in Timed Asynchronous Circuits", booktitle= iscas, pages = "1223--1226", year = 1995 } @INPROCEEDINGS{Baake97, author = "Uwe Baake and Markus Ernst and Sorin A. Huss", title = "An integrated design methodology for asynchronous circuit engineering", pages = "1836--1839", booktitle= iscas, volume = 3, month = jun, year = 1997 } @INPROCEEDINGS{Back95, author = "R.-J. R. Back and A.J. Martin and K. Sere", title = "An action system specification of the {C}altech asynchronous microprocessor", booktitle= "Third International Conference on the Mathematics of Program Construction", series = lncs, publisher= springer, month = jul, year = 1995 } @TECHREPORT{Badia92, author = "Rosa M. Badia and Jordi Cortadella", title = "High-Level Synthesis of Asynchronous Digital Circuits: Scheduling Strategies", institution=upc, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1992/UPC-DAC-92-06.ps.Z", year = 1992 } @INPROCEEDINGS{Badia93, author = "Rosa M. Badia and Jordi Cortadella", title = "High-Level Synthesis of Asynchronous Systems: Scheduling and Process Synchronization", pages = "70--74", booktitle= edac, publisher= icsp, month = feb, year = 1993 } @TECHREPORT{Baeten88, author = "Baeten, J. C. M. and Vaandrager, F. W.", title = "Specification and Verification of a Circuit in {ACP} (revised version)", type = "Report", number = "P8821", institution= "University of Amsterdam, Programming Research Group", month = oct, year = 1988 } @BOOK{Baeten90, author = "J. C. M. Baeten", title = "Applications of Process Algebra", publisher= "Cambridge University Press", year = 1990 } @INPROCEEDINGS{Bailey93, author = "Andrew Bailey", title = "Automatic Verification of Speed-Independent Circuit Designs Using the Circal System", pages = "167--178", booktitle= "Correct Hardware Design and Verification Methods (CHARME '93)", publisher= springer, series = lncs, volume = 683, month = may, year = 1993 } @ARTICLE{Bailey94, author = "Andrew Bailey and George A. McCaskill and George J. Milne", title = "An Exercise in the Automatic Verification of Asynchronous Designs", journal= fmsd, volume = 4, pages = "213--242", year = 1994 } @INPROCEEDINGS{Bailey95, author = "Andrew Bailey and Mark Josephs", title = "Sequencer Circuits for {VLSI} Programming", pages = "82--90", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Bainbridge98, author = "W. J. Bainbridge and S. B. Furber", title = "Asynchronous macrocell interconnect using {MARBLE}", pages = "122--132", booktitle= async, year = 1998 } @INPROCEEDINGS{Balraj86, author = "T. S. Balraj and M. J. Foster", title = "{Miss Manners}: A specialized Silicon Compiler for Synchronizers", editor = "Charles E. Leierson", booktitle=arvlsi, pages = "3--20", publisher=mit, month = apr, year = 1986 } @INPROCEEDINGS{Banerjee94, author = "S. Banerjee and R. K. Roy and S. T. Chakradhar and D. K. Pradhan", title = "Signal transition graph transformations for initializability", booktitle= edac, year = 1994 } @INPROCEEDINGS{Banerjee94a, author = "S. Banerjee and R. K. Roy and S. T. Chakradhar and D. J. Pradhan", title = "Circuit Initialization Issues in Asynchronous Synthesis", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @ARTICLE{Banerjee96, author = "Savita Banerjee and Rabindra K. Roy and Srimat T. Chakradhar", title = "Initialization Issues in Asynchronous Circuit Synthesis", journal= jetta, volume = 9, number = 3, pages = "237--250", month = dec, year = 1996 } @INPROCEEDINGS{Banerjee96a, author = "Savita Banerjee and Srimat T. Chakradhar and Rabindra K. Roy", title = "Synchronous trst generation model for asynchronous circuits", booktitle= ivlsi, month = jan, year = 1996 } @INPROCEEDINGS{Bardsley97, author = "A. Bardsley and D. Edwards", title = "Compiling the language {Balsa} to delay-insensitive hardware", editor = "C. D. Kloos and E. Cerny", booktitle= "Hardware Description Languages and their Applications (CHDL)", pages = "89--91", month = apr, year = 1997 } @INPROCEEDINGS{Barringer96, author = "H. Barringer and D. Fellows and G. D. Gough and P. Jinks and B. Marsden and A. Williams", title = "Design and Simulation in {Rainbow}: A Framework for Asynchronous Micropipeline Circuits", editor = "A. G. Bruzzone and U. J. H. Kerckhoffs", booktitle= "Proceedings of the European Simulation Symposium", volume = 2, pages = "567--571", publisher= "Society for Computer Simulation International", month = oct, year = 1996 } @INPROCEEDINGS{Barringer97, author = "H. Barringer and D. Fellows and G. D. Gough and A. Williams", title = "Abstract Modelling of Asynchronous Micropipeline Systems using {Rainbow}", editor = "C. D. Kloos and E. Cerny", booktitle= "Hardware Description Languages and their Applications (CHDL)", pages = "285--304", month = apr, year = 1997 } @ARTICLE{Barros83, author = "Jos\'e C. Barros and Brian W. Johnson", title = "Equivalence of the Arbiter, the Synchronizer, the Latch, and the Inertial Delay", pages = "603--614", journal= ieeetc, volume = 32, number = 7, month = jul, year = 1983 } @ARTICLE{Bartlett97, author = "V. A. Bartlett and E. Grass", title = "Completion-detection technique for dynamic logic", pages = "1850--1852", journal= el, volume = 33, number = 22, month = "23 Oct", year = 1997 } @INPROCEEDINGS{Bartlett98, author = "V. A. Bartlett and E. Grass", title = "A Self-Timed Multiplier using Conditional Evaluation", pages = "429--438", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle=patmos, month = oct, year = 1998 } @PHDTHESIS{Bednarczyk87, author = "Bednarczyk, Marek A.", title = "Categories of Asynchronous Systems", school = "University of Sussex", month = oct, year = 1987 } @INPROCEEDINGS{Beerel91, author = "Beerel, Peter and Meng, Teresa", title = "Semi-Modularity and Self-Diagnostic Asynchronous Control Circuits", pages = "103--117", booktitle= arvlsi, editor = "S\'equin, Carlo H.", publisher= mit, postscript = "http://jungfrau.usc.edu/pub/arvlsi91.ps", month = mar, year = 1991 } @INPROCEEDINGS{Beerel91a, author = "Peter A. Beerel and Teresa H.-Y. Meng", title = "Testability of Asynchronous Self-Timed Control Circuits with Delay Assumptions", key = "testing", booktitle= dac, pages = "446--451", publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/BM91.ps", month = jun, year = 1991 } @ARTICLE{Beerel92, author = "Beerel, P.A. and Meng, T.H.-Y.", title = "Semi-modularity and Testability of Speed-Independent Circuits", key = "testing", pages = "301--322", journal= integration, volume = 13, number = 3, postscript = "ftp://snooze.stanford.edu/pub/papers/async/BM92.ps", html = {http://jungfrau.usc.edu/pub/integration.ps}, month = sep, year = 1992 } @INPROCEEDINGS{Beerel92a, author = "P. Beerel and T.H.-Y. Meng", title = "Automatic Gate-Level Synthesis of Speed-Independent Circuits", pages = "581--587", booktitle= iccad, publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/BM92a.ps", url = "http://jungfrau.usc.edu/pub/iccad92.ps", month = nov, year = 1992 } @INPROCEEDINGS{Beerel92b, author = "Peter A. Beerel and Teresa H.-Y. Meng", title = "Gate-Level Synthesis of Speed-Independent Asynchronous Control Circuits", booktitle= "Proceedings of ACM TAU 1992", note = "Participant's proceedings", month = mar, year = 1992 } @INPROCEEDINGS{Beerel93, author = "Peter A. Beerel and Teresa H.-Y. Meng", title = "Logic Transformations and Observability Don't Cares in Speed-Independent Circuits", booktitle= "Proceedings of TAU 1993", note = "Participant's proceedings", postscript = "ftp://snooze.stanford.edu/pub/papers/async/BM93.ps", month = sep, year = 1993 } @INPROCEEDINGS{Beerel93a, author = "Peter A. Beerel and Teresa H.-Y. Meng and Jerry Burch", title = "Efficient Verification of Determinate Speed-Independent Circuits", booktitle= iccad, pages = "261--267", publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/BBM93.ps", url = "http://jungfrau.usc.edu/pub/iccad93.ps", month = nov, year = 1993 } @INPROCEEDINGS{Beerel94, author = "Peter A. Beerel and Jerry R. Burch and Teresa H.-Y. Meng", title = "Sufficient Conditions for Correct Gate-Level Speed-Independent Circuits", pages = "33--43", booktitle= async, postscript = "http://jungfrau.usc.edu/pub/async94.ps", month = nov, year = 1994 } @PHDTHESIS{Beerel94a, author = "Peter A. Beerel", title = "{CAD} Tools for the Synthesis, Verification, and Testability of Robust Asynchronous Circuits", school = su, postscript = "http://jungfrau.usc.edu/pub/pabthesis.ps", year = 1994 } @TECHREPORT{Beerel94b, author = "Peter A. Beerel and Chris J. Myers and Teresa H.-Y. Meng", title = "Automatic synthesis of gate-level speed-independent circuits", number = "CSL-TR-94-648", institution= su, month = nov, year = 1994 } @INPROCEEDINGS{Beerel95, author = "P. A. Beerel and C.-T. Hsieh and S. Wadekar", title = "Estimation of energy consumption in speed-independent control circuits", booktitle= "International Symposium on Low-Power Design", pages = "39--44", postscript = "http://jungfrau.usc.edu/pub/islpd95.ps", year = 1995 } @INPROCEEDINGS{Beerel95a, author = "Peter A. Beerel and Kenneth Y. Yun and Steven M. Nowick and Pei-Chuan Yeh", title = "Estimation and Bounding of Energy Consumption in Burst-Mode Control Circuits", booktitle= iccad, publisher= icsp, postscript = "http://jungfrau.usc.edu/pub/iccad95.ps", pdf = "http://paradise.ucsd.edu/PAPERS/ICCAD-95.pdf", year = 1995 } @INPROCEEDINGS{Beerel96, author = "P. A. Beerel and K. Y. Yun and W. C. Chou", title = "Optimizing average-case delay in technology mapping of burst-mode circuits", booktitle= async, publisher= icsp, month = mar, postscript = "http://paradise.ucsd.edu/PAPERS/ASYNC-96-TECHMAP.ps", pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-96-TECHMAP.pdf", year = 1996 } @INPROCEEDINGS{Beerel96a, author = "Peter A. Beerel and Wei-chun Chou and Kenneth Y. Yun", title = "A Heuristic Covering Technique for Optimizing Average-Case Delay in the Technology Mapping of Asynchronous Burst-Mode Circuits", booktitle= eurodac, pdf = "http://paradise.ucsd.edu/PAPERS/EURODAC-96-TECHMAP.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/EURODAC-96-TECHMAP.ps", month = sep, year = 1996 } @ARTICLE{Beerel98a, author = "P. A. Beerel and C. J. Myers and T. H.-Y. Meng", title = "Covering conditions and algorithms for the synthesis of speed-independent circuits", journal = ieeetcad, month = mar, year = 1998 } @ARTICLE{Beerel98b, author = "P. A. Beerel and J. R. Burch and T. H.-Y. Meng", title = "Checking Combinational Equivalence of Speed-Independent Circuits", journal= fmsd, month = mar, year = 1998 } @INPROCEEDINGS{Beerel99, author = "Peter A. Beerel and Sangyun Kim and Pei-Chuan Yeh and Kyeounsoo Kim", title = "Statistically Optimized Asynchronous Barrel Shifters for Variable Length Codecs", booktitle=islped, pages = "261--263", month = aug, year = 1999 } @ARTICLE{Beister74, author = "J. Beister", title = "A unified approach to combinational hazards", journal= ieeetc, volume = "C-23", number = 6, year = 1974 } @INPROCEEDINGS{Beister93, author = "J. Beister and R. Wollowski", title = "Controller Implementation by Communicating Asynchronous Sequential Circuits from a {Petri} Net Specification of Required Behaviour", booktitle= "Synthesis of Control Dominated Circuits", editor = "G. Saucier and J. Trilhe", pages = "103--115", publisher= esp, year = 1993 } @INPROCEEDINGS{Beister93a, author = "J. Beister and M. Kuhn and R. Wollowski", title = "An asynchronous controller for a daisy-chainable {VME} bus interrupter", booktitle= "Third International Workshop on Field Programmable Logic and Applications", year = 1993 } @INPROCEEDINGS{Beister99, author = "J. Beister and G. Eckstein and R. Wollowski", title = "From {STG} to Extended-Burst-Mode Machines", pages = "145--158", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Belhadj93, author = "H. Belhadj and G. Saucier and M. Yoeli", title = "From Trace Graphs to Modular Delay-Insensitive Circuits", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @INPROCEEDINGS{Bell96, author = "J. L. Bell and R. F. Tinder and M. L. Manwaring", title = "Fast externally asynchronous-internally clocked systems: implementation and analysis of a new genre of self-timed circuits", booktitle= midwest, volume = 1, pages = "69--72", year = 1996 } @INPROCEEDINGS{Belluomini97, author = "Wendy Belluomini and Chris J. Myers", title = "Efficient Timing Analysis Algorithms for Timed State Space Exploration", pages = "88--100", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Belluomini97a, author = "Wendy Belluomini and Chris J. Myers", title = "Timed Event-Level Structures", booktitle= tau, address= "Austin, Texas, USA", month = dec, year = 1997 } @INPROCEEDINGS{Belluomini99, author = "W. Belluomini and C. J. Myers and H. P. Hofstee", title = "Verification of Delayed-Reset Domino Circuits Using {ATACS}", pages = "3--12", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Benes97, author = "Martin Benes and Andrew Wolfe and Steven M. Nowick", title = "A High-Speed Asynchronous Decompression Circuit for Embedded Processors", pages = "219--236", booktitle= arvlsi, month = sep, year = 1997 } @INPROCEEDINGS{Benes98, author = "M. Benes and S. M. Nowick and A. Wolfe", title = "A fast asynchronous {Huffman} decoder for compressed-code embedded processors", pages = "43--56", booktitle= async, year = 1998 } @MASTERSTHESIS{Benko93, author = "Igor Benko", title = "The Committee Problem and Delay-Insensitive Circuits", school = "Department of Computer Science, University of Waterloo", html = "http://maveric0.uwaterloo.ca/Abstracts/Igor.MMath.html", month = may, year = 1993 } @INPROCEEDINGS{Benko94, author = "Igor Benko and Jo Ebergen", title = "Delay-Insensitive Solutions to the Committee Problem", pages = "228--237", booktitle= async, postscript = "ftp://maveric.uwaterloo.ca/pub/reports/All-reports/committee-saracs94.ps.gz", month = nov, year = 1994 } @INPROCEEDINGS{Bergstra85, author = "Bergstra, J. A. and Klop, J. W. and Tucker, J. V.", title = "Process Algebra with Asynchronous Communication Mechanisms", booktitle= "Seminar on Concurrency", editor = "Winskel, G.", series = lncs, volume = 197, pages = "76--95", publisher= springer, year = 1985 } @INPROCEEDINGS{Berkel88, author = "Berkel, C. H. (Kees) van and Niessen, Cees and Rem, Martin and Saeijs, Ronald W. J. J.", title = "{VLSI} Programming and Silicon Compilation", booktitle= iccd, publisher= icsp, pages = "150--166", address= "Rye Brook, New York", year = 1988 } @INPROCEEDINGS{Berkel88a, author = "Berkel, C. H. (Kees) van and Martin Rem and Ronald W. J. J. Saeijs", title = "{VLSI} Programming", booktitle= iccd, publisher= icsp, pages = "152--156", year = 1988 } @INPROCEEDINGS{Berkel88b, author = "Berkel, C. H. (Kees) van and Ronald W. J. J. Saeijs", title = "Compilation of Communicating Processes into Delay-Insensitive Circuits", booktitle= iccd, publisher= icsp, pages = "157--162", year = 1988 } @INPROCEEDINGS{Berkel91, author = "Berkel, Kees van and Kessels, Joep and Roncken, Marly and Saeijs, Ronald and Schalij, Frits", title = "The {VLSI}-Programming Language {Tangram} and Its Translation into Handshake Circuits", booktitle= edac, pages = "384--389", year = 1991 } @TECHREPORT{Berkel91a, author = "Berkel, C. H. van", title = "Beware the Isochronic Fork", institution= "Philips Research Lab., Eindhoven, The Netherlands", type = "Nat. Lab. Unclassified Report", number = "UR 003/91", year = 1991 } @PHDTHESIS{Berkel92, author = "Berkel, Kees van", title = "Handshake Circuits: An Intermediary between Communicating Processes and {VLSI}", school = "Eindhoven University of Technology", year = 1992 } @ARTICLE{Berkel92a, author = "Berkel, Kees van", title = "Beware the Isochronic Fork", journal= integration, volume = 13, number = 2, pages = "103--128", month = jun, year = 1992 } @INPROCEEDINGS{Berkel93a, author = "Berkel, Kees van", title = "{VLSI} Programming of a Modulo-{N} Counter with Constant Response Time and Constant Power", pages = "1--11", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @INPROCEEDINGS{Berkel93b, author = "Berkel, Kees van and Ronan Burgess and Joep Kessels and Marly Roncken and Frits Schalij", title = "Characterization and Evaluation of a Compiled Asynchronous {IC}", pages = "209--221", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @BOOK{Berkel93c, author = "Berkel, Kees van", title = "Handshake Circuits: an Asynchronous Architecture for {VLSI} Programming", series = "International Series on Parallel Computation", volume = 5, publisher= "Cambridge University Press", year = 1993 } @INPROCEEDINGS{Berkel94, author = "Berkel, Kees van and Ronan Burgess and Joep Kessels and Ad Peeters and Marly Roncken and Frits Schalij", title = "A Fully-Asynchronous Low-Power Error Corrector for the {DCC} Player", booktitle= isscc, pages = "88--89", month = feb, year = 1994 } @ARTICLE{Berkel94a, author = "Berkel, Kees van and Ronan Burgess and Joep Kessels and Ad Peeters and Marly Roncken and Frits Schalij", title = "Asynchronous Circuits for Low Power: A {DCC} Error Corrector", journal= ieeedt, volume = 11, number = 2, pages = "22--32", month = "Summer", year = 1994 } @ARTICLE{Berkel94b, author = "Berkel, Kees van and Ronan Burgess and Joep Kessels and Ad Peeters and Marly Roncken and Frits Schalij", title = "A Fully-Asynchronous Low-Power Error Corrector for the {DCC} Player", journal= ieeejssc, volume = 29, number = 12, pages = "1429--1439", month = dec, year = 1994 } @INPROCEEDINGS{Berkel95, author = "Berkel, Kees van and Martin Rem", title = "{VLSI} Programming of Asynchronous Circuits for Low Power", pages = "152--210", editor = "Graham Birtwistle and Al Davis", booktitle= "Asynchronous Digital Circuit Design", publisher= springer, series = wic, year = 1995 } @INPROCEEDINGS{Berkel95a, author = "Berkel, Kees van and Ronan Burgess and Joep Kessels and Ad Peeters and Marly Roncken and Frits Schalij and Rik van de Wiel", title = "A Single-Rail Re-implementation of a {DCC} Error Detector Using a Generic Standard-Cell Library", pages = "72--79", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Berkel95b, author = "Berkel, Kees van and Ferry Huberts and Ad Peeters", title = "Stretching Quasi Delay Insensitivity by Means of Extended Isochronic Forks", pages = "99--106", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Berkel96, author = "Berkel, Kees van and Arjan Bink", title = "Single-Track Handshaking Signaling with Application to Micropipelines and Handshake Circuits", pages = "122--133", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Berkel96a, author = "Berkel, Kees van", title = "{ESPRIT 6143}: Exploitation of Asynchronous Circuit Technologies", pages = "764--767", booktitle= "Third IEEE International Conference on Electronics, Circuits, and Systems (ICECS'96)", month = oct, year = 1996 } @INCOLLECTION{Berkel98, author = "Berkel, Kees van and Hans van Gageldonk and Joep Kessels and Cees Niessen and Ad Peeters and Marly Roncken and Rik van de Wiel", title = "Asynchronous Does Not {\em Imply\/} Low Power, But {\ldots}", pages = "227--232", editor = "Anantha Chandrakasan and Robert Brodersen", booktitle= "Low Power CMOS Design", publisher= ieee, year = 1998 } @ARTICLE{Berkel99, author = "Berkel, C. H. (Kees) van and Mark B. Josephs and Steven M. Nowick", title = "Scanning the Technology: Applications of Asynchronous Circuits", pages = "223--233", journal= ieeeproc, volume = 87, number = 2, pdf = "http://www.cs.columbia.edu/~nowick/pieee99.pdf", postscript = "http://www.cs.columbia.edu/~nowick/pieee99.ps", month = feb, year = 1999 } @ARTICLE{Berkel99a, author = "Berkel, C. H. (Kees) van and Charles E. Molnar", title = "Beware the Three-Way Arbiter", pages = "840--848", journal= ieeejssc, volume = 34, number = 6, month = jun, year = 1999 } @INPROCEEDINGS{Berks97, author = "Robert Berks and Jo Ebergen", title = "Response Time Properties of Linear Pipelines with Varying Cell Delays", booktitle= tau, month = dec, year = 1997 } @PHDTHESIS{Berks98, author = "Robert Berks", title = "Performance Analysis of Asynchronous Networks", school = "Dept. of Computer Science, Univ. of Waterloo, Canada", month = jul, year = 1998 } @INPROCEEDINGS{Berthet88a, author = "Berthet, Christian and Cerny, Eduard", title = "Synthesis of Speed-Independent Circuits Using Set-Memory Elements", booktitle= "Proc. Int'l. Workshop Logic and Arch. Synthesis for Silicon Compilers", editor = "Saucier, G.", address= "Grenoble, France", month = may, year = 1988 } @ARTICLE{Berthet88b, author = "Berthet, Christian and Cerny, Eduard", title = "An Algebraic Model for Asynchronous Circuits Verification", journal= ieeetc, volume = 37, number = 7, pages = "835--847", month = jul, year = 1988 } @INCOLLECTION{Berthet88c, author = "Berthet, Christian and Cerny, Eduard", title = "Verification of Asynchronous Circuits: Behaviors, Constraints and Specification", booktitle="{VLSI} Specification, Verification and Synthesis", publisher= kap, editor = "G. Birtwistle and P. A. Subrahmanyam", chapter= 13, pages = "387--404", year = 1988 } @INPROCEEDINGS{Berthet88d, author = "Berthet, Christian and Cerny, Eduard", title = "Synthesis of speed-independent circuits from algebraic specifications", booktitle= iscas, volume = 2, pages = "1869--1872", year = 1988 } @INPROCEEDINGS{Bickford94, author = "Mark Bickford", title = "Composable Specifications for Asynchronous Systems using {UNITY}", pages = "216--227", booktitle= async, month = nov, year = 1994 } @PROCEEDINGS{Birtwistle95, editor = "Graham Birtwistle and Al Davis", title = "Asynchronous Digital Circuit Design", publisher= springer, series = wic, year = 1995 } @TECHREPORT{Bisseling90, author = "Bisseling, Hans and Eemers, Henk and Kamps, Michiel and Peeters, Ad", title = "Designing Delay-Insensitive Circuits", institution="IVO, Eindhoven University of Technology", month = sep, year = 1990 } @ARTICLE{Black86, author = "David L. Black", title = "On the Existence of Delay-Insensitive Fair Arbiters: Trace Theory and its Limitations", journal= dc, volume = 1, pages = "205--225", year = 1986 } @TECHREPORT{Black94, author = "Robert D. Black", title = "Towards a Dynamical Systems Approach to Asynchronous Circuit Design", institution= "Dept. of Comp. Sc., Univ. of Waterloo", month = apr, year = 1994 } @ARTICLE{Black96, author = "R. J. R. Black and A. J. Martin and K. Sere", title = "An action system specification of the {Caltech} Asynchronous Microprocessor", journal= "Science of Computer Programming", volume = 26, pages = "79--97", year = 1996 } @ARTICLE{Blaum93, author = "M. Blaum and J. Bruck", title = "Coding for Skew-Tolerant Parallel Asynchronous Communications", journal= "IEEE Transactions on Information Theory", pages = "379--388", volume = 39, number = 2, month = mar, year = 1993 } @ARTICLE{Blaum95, author = "M. Blaum and J. Bruck", title = "Delay-Insensitive Pipelined Communication on Parallel Buses", journal= ieeetc, pages = "660--668", volume = 44, number = 5, month = may, year = 1995 } @INPROCEEDINGS{Blunno99, author = "Ivan Blunno and Luciano Lavagno", title = "Towards a language-based design flow for asynchronous circuits", booktitle=iwls, month = jun, year = 1999 } @ARTICLE{Bochmann79, author = "Bochmann, Gregor v.", title = "Distributed Synchronization and Regularity", journal= "Computer Networks", volume = 3, pages = "36--43", year = 1979 } @ARTICLE{Bochmann88, author = "Bochmann, Gregor v.", title = "Delay-Independent Design for Distributed Systems", journal= ieeese, volume = "SE-14", number = 8, pages = "1229--1237", month = aug, year = 1988 } @INPROCEEDINGS{Bormann97, author = "David S. Bormann and Peter Y.K. Cheung", title = "Asynchronous Wrapper for Heterogeneous Systems", booktitle= iccd, month = oct, year = 1997 } @INPROCEEDINGS{Borriello89, author = "Gaetano Borriello", title = "Synthesis of mixed synchronous/asynchronous control logic", booktitle= iscas, volume = 2, pages = "762--765", year = 1989 } @INPROCEEDINGS{Boutamine96, author = "H. Boutamine and A. Guyot and B. Elhassan and M. Renaudin", title = "Asynchronous {SRT} Dividers: The Real Cost", pages = "195--199", booktitle= edtc, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Brackenbury94, author = "L. E. M. Brackenbury and S. B. Furber and R. Kelly", title = "Transforming Architectural Models into High Performance Concurrent Implementations", booktitle= "1994 UK IT Forum Conference Digest", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/jfit94.ps.Z", month = mar, year = 1994 } @INCOLLECTION{Brockett89, author = "Brockett, R. W.", title = "Smooth Dynamical Systems which Realize Arithmetical and Logical Operations", booktitle="Three Decades of Mathematical Systems Theory: A Collection of Surveys at the Occasion of the 50th Birthday of {J}. {C}. {W}illems", editor = "Nijmeijer, Hendrik and Schumacher, Johannes M.", series = "Lecture Notes in Control and Information Sciences", volume = 135, pages = "19--30", publisher= springer, year = 1989 } @INPROCEEDINGS{Brown90, author = "Geoffrey M. Brown", title = "Towards Truly Delay-Insensitive Circuit Realizations of Process Algebras", pages = "120--131", editor = "Geraint Jones and Mary Sheeran", booktitle="Proceedings of the Workshop on Designing Correct Circuits", publisher= springer, year = 1990 } @INPROCEEDINGS{Brown94, author = "Geoffrey Brown and Wayne Luk and John O'Leary", title = "Retargeting a Hardware Compiler Proof Using Protocol Converters", booktitle= async, pages = "54--63", month = nov, year = 1994 } @ARTICLE{Brown96, author = "Geoffrey Brown and Wayne Luk and John O'Leary", title = "Retargeting a Hardware Compiler Using Protocol Converters", journal= faoc, volume = 8, number = 2, pages = "209--237", year = 1996 } @ARTICLE{Browne86, author = "Michael C. Browne and Edmund M. Clarke and David L. Dill and Bud Mishra", title = "Automatic Verification of Sequential Circuits using Temporal Logic", pages = "1035--1044", journal= ieeetc, volume = 35, number = 12, month = dec, year = 1986 } @INPROCEEDINGS{Bruno69, author = "J. Bruno and S. M. Altman", title = "Asynchronous Control Networks", pages = "61--73", booktitle= ssat, year = 1969 } @ARTICLE{Bruna71, author = "John Bruna and Stanley M. Altman", title = "A theory of asynchronous control networks", pages = "629--638", journal= ieeetc, volume = 20, number = 6, month = jun, year = 1971 } @TECHREPORT{Brunvand87, author = "Erik Brunvand", title = "{Parts-R-Us}: {A} chip aparts...", institution= "Carnegie Mellon University", number = "CMU-CS-87-119", month = may, year = 1987 } @INPROCEEDINGS{Brunvand89, author = "Brunvand, Erik and Sproull, Robert F.", title = "Translating Concurrent Programs into Delay-Insensitive Circuits", booktitle= iccad, publisher= icsp, pages = "262--265", month = nov, year = 1989 } @INPROCEEDINGS{Brunvand91, author = "E. K. Brunvand and M. Starkey", title = "An Integrated Environment for the Design and Simulation of Self Timed Systems", editor = "A. Halaas and P. B. Denyer", booktitle= "VLSI 91", organization= "IFIP", pages = "4a.2", month = aug, year = 1991 } @TECHREPORT{Brunvand91a, author = "Brunvand, Erik", title = "A Cell Set for Self-Timed Design using {A}ctel {FPGA}s", institution= utahcs, number = "UUCS-91-013", month = aug, year = 1991 } @PHDTHESIS{Brunvand91b, author = "Brunvand, Erik", title = "Translating Concurrent Communicating Programs into Asynchronous Circuits", school = "Carnegie Mellon University", number = "CMU-CS-91-198", year = 1991 } @INPROCEEDINGS{Brunvand91c, author = "Erik Brunvand", title = "Implementing Self-Timed Systems with {FPGA}s", booktitle= "FPGAs", pages = "312--323", editor = "W. R. Moore and W. Luk", chapter= "6.2", publisher="Abingdon EE \& CS Books", year = 1991 } @INPROCEEDINGS{Brunvand92, author = "E. Brunvand and M. Michell and K. Smith", title = "A Comparison of Self-Timed Design using {FPGA}, {CMOS}, and {GaAs} Technologies", pages = "76--80", booktitle= iccd, publisher= icsp, month = oct, year = 1992 } @INPROCEEDINGS{Brunvand93, author = "Erik Brunvand", title = "The {NSR} Processor", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @ARTICLE{Brunvand93a, author = "Erik Brunvand", title = "Using {FPGAs} to Implement Self-Timed Systems", pages = "173--190", journal= vlsisp, volume = 6, number = 2, month = jun, year = 1993 } @ARTICLE{Brunvand94, author = "Erik Brunvand", title = "Designing Self-Timed Systems using Concurrent Programs", pages = "47--59", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @INPROCEEDINGS{Brunvand95, author = "Erik Brunvand", title = "Low latency self-timed flow-through {FIFO}s", pages = "76--90", editor = "William J. Dally and John W. Poulton and Alexander T. Ishii", booktitle= arvlsi, publisher= icsp, year = 1995 } @INPROCEEDINGS{Brunvand97, author = "Erik Brunvand and Steven Nowick and Kenneth Yun", title = "Practical Advances in Asynchronous Design", booktitle= iccd, pages = "662--668", year = 1997 } @INPROCEEDINGS{Brunvand99, author = "Erik Brunvand and Steven Nowick and Kenneth Yun", title = "Practical Advances in Asynchronous Design and in Asynchronous/Synchronous Interfaces", booktitle= dac, pages = "104--109", year = 1999 } @ARTICLE{Brzozowski68, author = "J.A. Brzozowski and S. Singh", title = "Definite Asynchronous Sequential Circuits", journal= ieeetc, volume = "C-17", number = 1, pages = "18--26", month = jan, year = 1968 } @ARTICLE{Brzozowski76, author = "J.A. Brzozowski and M. Yoeli", title = "Practical Approach to Asynchronous Gate Networks", journal= ieeproc, volume = 123, number = 6, pages = "495--498", month = jun, year = 1976 } @ARTICLE{Brzozowski79, author = "J.A. Brzozowski and M. Yoeli", title = "On a Ternary Model of Gate Networks", journal= ieeetc, volume = "C-28", number = 3, pages = "178--184", month = mar, year = 1979 } @INPROCEEDINGS{Brzozowski86, author = "Brzozowski, J. A.", title = "Detection of Timing Problems in {VLSI} Circuits", pages = "7--18", booktitle="Congressus Numerantium, Vol. 56", note = "Conference held in Winnipeg, Manitoba, October 1986", year = 1986 } @TECHREPORT{Brzozowski87a, author = "Brzozowski, J. A. and Seger, C.-J.", title = "A Unified Theory of Asynchronous Networks", type = "Research Report", number = "CS-87-24", institution= "Computer Science Dept., Univ. of Waterloo, Cananda", month = mar, year = 1987 } @ARTICLE{Brzozowski87b, author = "Brzozowski, J. A. and Seger, C.-J.", title = "A Characterization of Ternary Simulation of Gate Networks", journal= ieeetc, volume = " C-36", number = 11, pages = "1318--1327", month = nov, year = 1987 } @INPROCEEDINGS{Brzozowski89a, author = "Brzozowski, J. A. and Ebergen, J. C.", title = "Recent Developments in the Design of Asynchronous Circuits", pages = "78--94", booktitle= "Fundamentals of Computation Theory, {FCT}'89", editor = "Csirik, J. and Demetrovics, J. and G\'ecseg, F.", address= "FCT'89, Szeged, Hungary", series = lncs, volume = 380, publisher= springer, year = 1989 } @ARTICLE{Brzozowski89, author = "Brzozowski, J. A. and Seger, C.-J.", title = "A Unified Framework for Race Analysis of Asynchronous Networks", journal= jacm, volume = 36, number = 1, pages = "20--45", month = jan, year = 1989 } @ARTICLE{Brzozowski90, author = "Brzozowski, J. A. and Seger, C.-J. H.", title = "Advances in Asynchronous Circuit Theory; Part {I}: Gate and Unbounded Inertial Delay Models", journal= eatcs, volume = 42, pages = "198--249", month = oct, year = 1990 } @ARTICLE{Brzozowski91, author = "Brzozowski, J. A. and Seger, C.-J. H.", title = "Advances in Asynchronous Circuit Theory; Part {II}: Bounded Inertial Delay Models, {MOS} Circuit Design Techniques", journal= eatcs, volume = 43, pages = "199--263", month = feb, year = 1991 } @ARTICLE{Brzozowski92, author = "Janusz A. Brzozowski and Jo C. Ebergen", title = "On the Delay-Sensitivity of Gate Networks", journal= ieeetc, pages = "1349--1360", volume = 41, number = 11, month = nov, year = 1992 } @BOOK{Brzozowski95, author = "Brzozowski, Janusz A. and Seger, Carl-Johan H.", title = "Asynchronous Circuits", publisher=springer, year = 1995 } @INPROCEEDINGS{Brzozowski95a, author = "J. A. Brzozowski and K. Raahemifar", title = "Testing {C}-Elements Is Not Elementary", pages = "150--159", booktitle= adm, publisher= icsp, month = may, year = 1995 } @TECHREPORT{Brzozowski95b, author = "J. A. Brzozowski and H. Jurgensen", title = "An Algebra of Multiple Faults in {RAM}s", institution= "University of Waterloo", html = "http://maveric0.uwaterloo.ca/Abstracts/John.Algebra.html", month = may, year = 1995 } @TECHREPORT{Brzozowski96, author = "John Brzozowski and Jay Lou and Radu Negulescu", title = "A Characterization of Finite Ternary Algebras", institution = "University of Waterloo", html = "http://maveric0.uwaterloo.ca/Abstracts/Jay.Ternary.Algebras.html", year = 1996 } @TECHREPORT{Brzozowski97, author = "J. A. Brzozowski and H. Zhang", title = "Delay-Insensitivity and Semi-Modularity", institution="Dept. of Comp. Science, Univ. of Waterloo", number = "CS-97-11", month = mar, year = 1997 } @INPROCEEDINGS{Brzozowski97a, author = "J. A. Brzozowski", title = "Delay-Insensitivity and Ternary Simulation", booktitle= "Proc. of the First International Conference on Semigroups and Algebraic Engineering", publisher= "World Scientific Publishing Co. Pte. Ltd., Singapore", month = mar, year = 1997 } @INPROCEEDINGS{Brzozowski97b, author = "J. A. Brzozowski and R. Negulescu", title = "Automata of Asynchronous Behaviors", booktitle="Proceedings of the 1997 Workshop on Implementing Automata (WIA97)", address= "London, Ontario, Canada", pages = "29--45", month = sep, year = 1997 } @ARTICLE{Budde94, author = "Wolfgang O. Budde and Hans-Georg Keller and Hans-Jurgen Reumerman and Paul van de Wiel", title = "An Asynchronous, High-Speed Packet Switching Component", journal= ieeedt, volume = 11, number = 2, pages = "33--42", year = 1994 } @INPROCEEDINGS{Burch90, author = "Jerry R. Burch", title = "Verifying Liveness Properties by Verifying Safety Properties", pages = "224--232", editor = "Robert P. Kurshan and Edmund M. Clarke", booktitle= cav, series = lncs, volume = 531, publisher= springer, year = 1990 } @INPROCEEDINGS{Burch92, author = "Jerry R. Burch", title = "Delay models for verifying speed-dependent asynchronous circuits", booktitle= "{ACM} Int. Workshop on Timing Issues in the Specification and Synthesis of Digital Systems", month = mar, year = 1992 } @INPROCEEDINGS{Burch92a, author = "Jerry R. Burch", title = "Delay Models for Verifying Speed-Dependent Asynchronous Circuits", pages = "270--274", booktitle= iccd, publisher= icsp, month = oct, year = 1992 } @INPROCEEDINGS{Burford94, author = "R. G. Burford and X. Fan and N. W. Bergmann", title = "An 180 {MHz} 16 bit Multiplier Using Asynchronous Logic Design Techniques", booktitle= custom, pages = "215--218", year = 1994 } @MASTERSTHESIS{Burns88, author = "Steven M. Burns", title = "Automated Compilation of Concurrent Programs into Self-timed Circuits", school = caltech, number = "CS-TR-88-02", year = 1988 } @INPROCEEDINGS{Burns88a, author = "Burns, Steven M. and Martin, Alain J.", title = "Syntax-directed Translation of Concurrent Programs into Self-timed Circuits", booktitle= arvlsi, editor = "J. Allen and F. Leighton", pages = "35--50", publisher= mit, year = 1988 } @INPROCEEDINGS{Burns88b, author = "Burns, Steven M. and Martin, Alain J.", title = "Synthesis of Self-Timed Circuits by Program Transformation", booktitle="The Fusion of Hardware Design and Verification", editor = "Milne, G. J.", pages = "99--116", publisher= esp, year = 1988 } @PHDTHESIS{Burns91, author = "Burns, Steven M.", title = "Performance Analysis and Optimization of Asynchronous Circuits", school = caltech, number = "CS-TR-91-01", year = 1991 } @INPROCEEDINGS{Burns91a, author = "Burns, Steven M. and Martin, Alain J.", title = "Performance Analysis and Optimization of Asynchronous Circuits", pages = "71--86", booktitle= arvlsi, publisher= mit, year = 1991 } @INPROCEEDINGS{Burns96, author = "S. M. Burns", title = "General Condition for the Decomposition of State Holding Elements", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Bush96, author = "M. E. Bush and M. B. Josephs", title = "Some limitations to speed-independence in asynchronous circuits", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Bystrov99, author = "A. Bystrov and A. Yakovlev", title = "Ordered Arbiters", pages = "877--879", journal= el, volume = 35, number = 11, year = 1999 } @ARTICLE{Calvo86, author = "Calvo, J. and Acha, J. I. and Valencia, M.", title = "Asynchronous Modular Arbiter", pages = "67--70", journal= ieeetc, volume = 35, number = 1, month = jan, year = 1986 } @INPROCEEDINGS{Camposano93, author = "R. Camposano and S. Devadas and K. Keutzer and S. Malik and A. Wang", title = "Implicit Enumeration Techniques Applied to Asynchronous Circuit Verification", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @ARTICLE{Cappuccino99, author = "G. Cappuccino and G. Cocorullo and P. Corsonello and S. Perri", title = "High Speed Self-Timed Pipelined Datapath for Square Routing", pages = "16--22", journal= ieepcds, volume = 146, number = 1, month = feb, year = 1999 } @INPROCEEDINGS{Carloni99, author = "Luca P. Carloni and Kenneth L. McMillan and Alexandra Saldanha and Alberto L. Sagiovanni-Vincentelli", title = "A Methodology for Correct-by-Construction Latency Insensitive Design", booktitle=iccad, pages = "309--315", month = nov, year = 1999 } @ARTICLE{Carson90, author = "Gerald Carson and Geatano Borriello", title = "A Testable {CMOS} Asynchronous Counter", key = "testing", pages = "952--960", journal= ieeejssc, volume = 25, number = 4, month = aug, year = 1990 } @ARTICLE{Catt66, author = "I. Catt", title = "Time Loss Through Gating of Asynchronous Logic Signal Pulses", journal= ieeetec, volume = "EC-15", pages = "108--111", month = feb, year = 1966 } @INPROCEEDINGS{Chakraborty97, author = "Supratik Chakraborty and David L. Dill and Kenneth Y. Yun and Kun-Yung Chang", title = "Timing Analysis for Extended Burst-Mode Circuits", pages = "101--111", booktitle= async, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-97-TIMING.pdf", postscript= "http://paradise.ucsd.edu/PAPERS/ASYNC-97-TIMING.ps", month = apr, year = 1997 } @INPROCEEDINGS{Chakraborty97a, author = "Supratik Chakraborty and David L. Dill", title = "More Accurate Polynomial-Time Mix-Max Timing Simulation", pages = "112--123", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Chakraborty97b, author = "Supratik Chakraborty and David L. Dill", title = "Approximate Algorithms for Time Separation of Events", booktitle= iccad, publisher= icsp, year = 1997 } @INPROCEEDINGS{Chakraborty97c, author = "Supratik Chakraborty and Kenneth Y. Yun and David L. Dill", title = "Practical Timing Analysis of Asynchronous Systems using Time Separation of Events", booktitle= tau, month = dec, year = 1997 } @INPROCEEDINGS{Chakraborty98, author = "Supratik Chakraborty and Kenneth Y. Yun and David L. Dill", title = "Practical Timing Analysis of Asynchronous Systems using Time Separation of Events", booktitle=custom, pdf = "http://paradise.ucsd.edu/PAPERS/CICC-98.pdf", postscript= "http://paradise.ucsd.edu/PAPERS/CICC-98.ps", month = may, year = 1998 } @PHDTHESIS{Chakraborty98a, author = "Supratik Chakraborty", title = "Polynomial-Time Techniques for Approximate Timing Analysis of Asynchronous Systems", school = "Stanford University", month = aug, year = 1998 } @ARTICLE{Chakraborty99, author = "Supratik Chakraborty and David L. Dill and Kenneth Y. Yun", title = "Min-Max Timing Analysis and an Application to Asynchronous Circuits", pages = "332--346", journal= ieeeproc, volume = 87, number = 2, pdf = "http://paradise.ucsd.edu/PAPERS/IEEE-MINMAX.pdf", postscript= "http://paradise.ucsd.edu/PAPERS/IEEE-MINMAX.ps", month = feb, year = 1999 } @INPROCEEDINGS{Chakradhar94, author = "S. T. Chakradhar and S. Banerjee and R. K. Roy and D. K. Pradhan", title = "Synthesis of Initializable Asynchronous Circuits", pages = "383--388", booktitle= ivlsi, publisher= icsp, month = jan, year = 1994 } @ARTICLE{Chakradhar96, author = "Srimat T. Chakradhar and Savita Banerjee and Rabindra K. Roy and Dhiraj K. Pradhan", title = "Synthesis of Initializable Asynchronous Circuits", pages = "254--263", journal= ieeevlsi, volume = 4, number = 2, month = jun, year = 1996 } @ARTICLE{Chandramouli96, author = "V. Chandramouli and Erik Brunvand and Kent F. Smith", title = "Self-Timed Design in {GaAs}---Case Study of a High-Speed, Parallel Multiplier", pages = "146--149", journal= ieeevlsi, volume = 4, number = 1, month = mar, year = 1996 } @ARTICLE{Chaney73, author = "Chaney, T. J. and Molnar, C. E.", title = "Anomalous Behavior of Synchronizer and Arbiter Circuits", journal= ieeetc, volume = "C-22", number = 4, pages = "421--422", month = apr, year = 1973 } @ARTICLE{Chang95, author = "Chih-Ming Chang and Shih-Lien Lu", title = "Design of a Static {MIMD} Data Flow Processor Using Micropipelines", journal= ieeevlsi, pages = "370--378", volume = 3, number = 3, month = sep, year = 1995 } @ARTICLE{Chang95a, author = "Chih-Ming Chang and Shih-Lien Lu", title = "Performance Issues on Micropipelines", journal= tcca, month = oct, year = 1995 } @PHDTHESIS{Chapiro84, author = "Daniel M. Chapiro", title = "Globally-Asynchronous Locally-Synchronous Systems", school = "Stanford University", month = oct, year = 1984 } @ARTICLE{Chappell91, author = "Terry I. Chappell and Barbara A. Chappell and Stanley E. Schuster and J.W. Allan and S.P. Klepner and R.V. Joshi and R.L. Franch", title = "A 2-ns Cycle, 3.8-ns Access 512-kb {CMOS ECL SRAM} with a Fully Pipelined Architecture", pages = "1577--1585", journal= ieeejssc, volume = 26, number = 11, month = nov, year = 1991 } @INPROCEEDINGS{Chappel94, author = "John F. Chappel and Safwat G. Zaky", title = "A Delay-Controlled Phase-Locked Loop to Reduce Timing Errors in Synchronous/Asynchronous Communication Links", booktitle=async, pages = "156--165", month = nov, year = 1994 } @PHDTHESIS{Chappel96, author = "John F. Chappel", title = "Novel Asynchronous Tools and Techniques", school = "Dept. of Electrical and Computer Engineering, Univ. of Toronto", year = 1996 } @ARTICLE{Chappell97, author = "J. F. Chappel and S. G. Zaky", title = "{EMI} effects and timing design for increased reliability in digital systems", journal= ieeetcsi, volume = 44, number = 2, pages = "130--142", month = feb, year = 1997 } @INPROCEEDINGS{Chen89, author = "Chen, Wei and Udding, Jan Tijmen and Verhoeff, Tom", title = "Networks of Communicating Processes and Their (De)-Composition", booktitle= "The Mathematics of Program Construction", editor = "Jan L. A. van de Snepscheut", series = lncs, volume = 375, pages = "174--196", publisher= springer, year = 1989 } @INPROCEEDINGS{Cheng97, author = "F. C. Cheng and S. H. Unger and M. Theobald and W.-C. Cho", title = "Delay-insensitive carry-lookahead adders", booktitle=ivlsi, pages = "322--328", year = 1997 } @INPROCEEDINGS{Cheng97a, author = "Fu-Chiung Cheng", title = "Synthesis of High Speed Delay-Insensitive Combinational Iterative Tree Circuits", booktitle= iccd, pages = "301--306", month = oct, year = 1997 } @INPROCEEDINGS{Cheng98, author = "Fu-Chiung Cheng", title = "Practical Design and Performance Evaluation of Completion Detection Circuits", booktitle= iccd, pages = "354--359", month = oct, year = 1998 } @ARTICLE{Chiang90, author = "Jen-Shiun Chiang and Damu Radhakrishnan", title = "Hazard-free Design of Mixed Operating Mode Asynchronous Sequential Circuits", journal= ije, volume = 68, number = 1, pages = "23--37", year = 1990 } @INPROCEEDINGS{Chiang98, author = "Jen-Shiun Chiang and Jun-Yao Liao", title = "The design and implementation of an asynchronous radix-2 non-restoring 32-b/32-b ring divider", booktitle= iscas, volume = 2, pages = "173--176", month = jun, year = 1998 } @INPROCEEDINGS{Chiang98a, author = "Jen-Shiun Chiang and Jun-Yao Liao", title = "A novel asynchronous control unit and the application to a pipelined multiplier", booktitle= iscas, volume = 2, pages = "169--172", month = jun, year = 1998 } @INPROCEEDINGS{Chien95, author = "Chia-Hsing Chien and Mark A. Franklin and Tienyo Pan and Prithvi Prabhu", title = "{ARAS}: Asynchronous {RISC} Architecture Simulator", pages = "210--219", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Chien96, author = "C.-H. Chien and M. A. Franklin", title = "Simulation of Asynchronous Instruction Pipelines", booktitle= "Proceedings of 1996 Summer Computer Simulation Conference", pages = "155--162", year = 1996 } @INPROCEEDINGS{Cho91, author = "Kyoung-Rok Cho and Kunihiro Asada", title = "{VLSI} Oriented Design Method of Asynchronous Sequential Circuits based on One-hot State Code and Two-transistor {AND} Logic", booktitle= iscas, volume = 3, pages = "1793--1796", year = 1991 } @INPROCEEDINGS{Cho92, author = "Kyoung-Rok Cho and Kazuma Okura and Kunihiro Asada", title = "Design of a 32-bit Fully Asynchronous Microprocessor ({FAM})", pages = "1500--1503", editor = "R. W. Newcomb and B. Geller and M. E. Zaghloul", booktitle= midwest, publisher= icsp, month = aug, year = 1992 } @INPROCEEDINGS{Choi94, author = "K. Choi and K. Lee and J-W. Kang", title = "A self-timed divider using {RSD} number system", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @INPROCEEDINGS{Choi99, author = "Byung-Soo Choi and Dong-Wook Lee and Dong-Ik Lee", title = "The design of delay insensitive asynchronous 16-bit microprocessor", pages = "33--36", booktitle= aspdac, year = 1999 } @INPROCEEDINGS{Chou98, author = "W. Chou and P. A. Beerel and R. Ginosar and R. Kol and C. J. Myers and S. Rotem and K. Stevens and K. Y. Yun", title = "Average-case optimized technology mapping of one-hot domino circuits", pages = "80--91", pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-98-DOMINO.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ASYNC-98-DOMINO.ps", booktitle= async, year = 1998 } @ARTICLE{Chou99, author = "Wei-Chun Chou and Peter A. Beerel and Kenneth Y. Yun", title = "Average-Case Technology Mapping of Asynchronous Burst-Mode Circuits", journal= ieeetcad, volume = 18, number = 10, pages = "1418--1434", pdf = "http://paradise.ucsd.edu/PAPERS/TCAD-TECHMAP.pdf", month = oct, year = 1999 } @INPROCEEDINGS{Christensen91, author = "Per Henrik Christensen and Henrik Hulgaard and J{\o}rgen Staunstrup", title = "Synthesis of Delay Insensitive Circuits from Verified Programs", booktitle= "Research directions in high-level parallel programming languages", editor = "J.-P. Banatre and D. Le Metayer", publisher= springer, series = lncs, volume = 574, pages = "326--337", year = 1992 } @INPROCEEDINGS{Christensen98, author = "K. T. Christensen and P. Jensen and P. Korger and J. Spars{\o}", title = "The design of an asynchronous {Tiny RISC TR4101} microprocessor core", pages = "108--119", booktitle= async, year = 1998 } @INPROCEEDINGS{Chu85, author = "T.-A. Chu and C. K. C. Leung and T. S. Wanuga", title = "A Design Methodology for Concurrent {VLSI} Systems", pages = "407--410", booktitle= iccd, publisher= icsp, year = 1985 } @ARTICLE{Chu86, author = "Chu, Tam-Anh", title = "On the models for designing {VLSI} asynchronous digital circuits", journal= integration, volume = 4, number = 2, pages = "99--113", month = jun, year = 1986 } @INPROCEEDINGS{Chu86a, author = "Tam-Anh Chu and Clement K. C. Leung", title = "Design of {VLSI} Asynchronous {FIFO} Queues for Packet Communication Networks", booktitle= icpp, pages = "397--400", month = aug, year = 1986 } @INPROCEEDINGS{Chu86b, author = "T.-A. Chu and L. A. Glasser", title = "Synthesis of Self-timed Control Circuits form Graphs: An Example", pages = "565--571", booktitle= iccd, publisher= icsp, year = 1986 } @PHDTHESIS{Chu87, author = "Chu, Tam-Anh", title = "Synthesis of Self-Timed {VLSI} Circuits from Graph-Theoretic Specifications", school = "MIT Laboratory for Computer Science", number = "MIT/LCS/TR-393", month = jun, year = 1987 } @INPROCEEDINGS{Chu87a, author = "Chu, Tam-Anh", title = "Synthesis of Self-Timed {VLSI} Circuits from Graph-Theoretic Specifications", booktitle= iccd, publisher= icsp, pages = "220--223", year = 1987 } @INPROCEEDINGS{Chu92, author = "Tam-Anh Chu", title = "Automatic synthesis and verification of hazard-free control circuits from asynchronous finite state machine Specifications", pages = "407--413", booktitle= iccd, publisher= icsp, month = oct, year = 1992 } @INPROCEEDINGS{Chu93a, author = "Tam-Anh Chu and Narayana S. Mani", title = "{CLASS}: A {CAD} System for Automatic Synthesis and Verification of Asynchronous Finite State Machines", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @INPROCEEDINGS{Chu93b, author = "Tam-Anh Chu and Narayana S. Mani and Clement K. C. Leung", title = "An efficient critical race-free state assignment technique for asynchronous finite state machines", pages = "2--6", booktitle= dac, publisher= icsp, month = jun, year = 1993 } @INPROCEEDINGS{Chu93c, author = "Tam-Anh Chu", title = "On the specification and synthesis of hazard-free asynchronous control circuits", pages = "1495--1498", booktitle= iscas, publisher= icsp, volume = 3, year = 1993 } @ARTICLE{Chu93d, author = "Tam-Anh Chu and Narayana S. Mani", title = "{CLASS}: A {CAD} System for Automatic Synthesis and Verification of Asynchronous Finite State Machines", pages = "263--289", journal= integration, volume = 15, number = 3, month = oct, year = 1993 } @ARTICLE{Chu94, author = "Tam-Anh Chu", title = "Synthesis of Hazard-Free Control Circuits from Asynchronous Finite State Machine Specifications", pages = "61--84", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @ARTICLE{Chuang69, author = "Chuang, Y. H.", title = "Transition Logic Circuits and a Synthesis Method", journal= ieeetc, volume = "C-18", number = 2, pages = "154--168", month = feb, year = 1969 } @INPROCEEDINGS{Chuang73, author = "Henry Y. H. Chuang and Santanu Das", title = "Multiple-Input Change Asynchronous Machines using Controlled Excitation and Flip-Flops", pages = "64--69", booktitle= ssat, publisher= icsp, year = 1973 } @ARTICLE{Chuang73a, author = "H. Y. H. Chuang and S. Das", title = "Synthesis of Multiple-Input Change Asynchronous Machines using Controlled Excitation and Flip-Flops", journal= ieeetc, volume = "C-22", number = 12, pages = "1103--1109", month = dec, year = 1973 } @ARTICLE{Chung93, author = "E.C.Y. Chung and L. Kleeman", title = "An Optimal Approach to Implementing Self-timed Logic Circuits from Signal Transition Graphs", journal= "Australian Telecommunications Research", pages = "41--56", volume = 27, number = 2, year = 1993 } @INPROCEEDINGS{Chung94, author = "Edwin C.Y. Chung and Lindsay Kleeman", title = "Metastable-robust Self-timed Circuit Synthesis from Live Safe Simple Signal Transition Graphs", pages = "97--105", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Clark67, author = "Clark, Wesley A.", title = "Macromodular Computer Systems", pages = "335--336", booktitle= "AFIPS Conference Proceedings: 1967 Spring Joint Computer Conference", address= "Atlantic City, NJ", volume = 30, publisher= ap, year = 1967 } @INCOLLECTION{Clark74, author = "Clark, Wesley A. and Molnar, Charles E.", title = "Macromodular Computer Systems", chapter= 3, pages = "45--85", booktitle= "Computers in Biomedical Research", volume = "IV", editor = "Stacy, Ralph W. and Waxman, Bruce D.", publisher= ap, year = 1974 } @ARTICLE{Coates93, author = "Bill Coates and Al Davis and Ken Stevens", title = "The {P}ost {O}ffice Experience: Designing a Large Asynchronous Chip", pages = "341--366", journal= integration, volume = 15, number = 3, month = oct, year = 1993 } @INPROCEEDINGS{Coates98, author = "W. S. Coates and J. K. Lexau and I. W. Jones and S. M. Fairbanks and I. E. Sutherland", title = "A FIFO data switch design experiment", pages = "4--17", booktitle= async, year = 1998 } @INPROCEEDINGS{Coates99a, author = "Bill Coates and Jo Ebergen and Jon Lexau and Scott Fairbanks and Ian Jones and Alex Ridgway and David Harris and Ivan Sutherland", title = "A Counterflow Pipeline Experiment", pages = "161--172", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Cockerill95, author = "R. Cockerill and J. Morris and A. H. J. Sale", title = "Simulation of self-timed integer multipliers", booktitle= "Proceeding of Microelectronics 1995", pages = "180--185", year = 1995 } @INPROCEEDINGS{Compton92, author = "J. Compton and A. Albicki", title = "Self-timed Pipeline with Adder", booktitle= glsvlsi, pages = "109--113", year = 1992 } @MASTERSTHESIS{Cook93, author = "James N. Cook", title = "Production Rule Verification for Quasi-Delay-Insensitive Circuits", school = caltech, number = "CS-TR-93-23", postscript = "ftp://ftp.cs.caltech.edu/tr/cs-tr-93-23.ps.Z", month = jun, year = 1993 } @ARTICLE{Corsini75, author = "P. Corsini", title = "Self-synchronizing asynchronous arbiter", pages = "67--73", journal= "Digital Processes", volume = 1, year = 1975 } @ARTICLE{Corsini79, author = "P. Corsini", title = "Speed-independent asynchronous arbiter", pages = "221--222", journal= "IEE journal on Computers and Digital Techniques", volume = 2, number = 5, month = oct, year = 1979 } @INPROCEEDINGS{Cortadella92, author = "J. Cortadella and R. M. Badia", title = "An Asynchronous Architecture Model for Behavioral Synthesis", pages = "307--311", booktitle= edac, publisher= icsp, year = 1992 } @TECHREPORT{Cortadella93, author = "Jordi Cortadella and Mark Josephs", title = "Proceedings of the 3rd {ACiD-WG} Workshop (on Digital Signal Processing)", institution= "Polytechnic University of Catalonia, Barcelona", number = "UPC/DAC RR 93/24", month = sep, year = 1993 } @INPROCEEDINGS{Cortadella94, author = "Jordi Cortadella and Alexandre Yakovlev and Luciano Lavagano and Peter Vanbekbergen", title = "Designing Asynchronous Circuits from Behavioral Specifications with Internal Conflicts", pages = "106--115", booktitle= async, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-94-08.ps.Z", month = nov, year = 1994 } @INPROCEEDINGS{Cortadella95, author = "J. Cortadella and M. Kishinevsky and L. Lavagno and A. Yakovlev", title = "Synthesizing Petri Nets from State-Based Models", pages = "164--171", booktitle=iccad, year = 1995 } @TECHREPORT{Cortadella95a, author = "Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexandre Yakovlev", title = "Synthesizing {Petri} Nets from State-Based Models", institution= upc, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1995/UPC-DAC-1995-9.ps.Z", year = 1995 } @INPROCEEDINGS{Cortadella96, author = "J. Cortadella and M. Kishinevsky and A. Kondratyev and L. Lavagno and A. Yakovlev", title = "Complete state encoding based on the theory of regions", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Cortadella96a, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alex Yakovlev", title = "Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis", booktitle= dac, year = 1996 } @INPROCEEDINGS{Cortadella96b, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev", title = "{Petrify}: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers", booktitle= "XI Conference on Design of Integrated Circuits and Systems", address= "Barcelona", month = nov, year = 1996 } @TECHREPORT{Cortadella96c, author = "J. Cortadella and M. Kishinevsky and A. Kondratyev and L. Lavagno and A. Yakovlev", title = "{Petrify}: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers", institution= upc, postscript = "ftp://ftp.ac.upc.es/pub/archives/cad/petrify/petrify.ps.gz", year = 1996 } @INPROCEEDINGS{Cortadella97, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alex Yakovlev", title = "Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis", booktitle= edtc, pages = "98--105", year = 1997 } @INPROCEEDINGS{Cortadella97a, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Enric Pastor and Alexandre Yakovlev", title = "Decomposition and technology mapping of speed-independent circuits using {Boolean} relations", url = "http://www.lsi.upc.es/~jordic/petrify/refs/", booktitle= iccad, month = nov, year = 1997 } @ARTICLE{Cortadella97b, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev", title = "A Region-Baded Theory for State Assignment in Speed-Independent Circuits", pages = "793--812", journal= ieeetcad, volume = 16, number = 8, month = aug, year = 1997 } @ARTICLE{Cortadella97c, author = "J. Cortadella and M. Kishinevsky and A.Kondratyev and L. Lavagno and A. Yakovlev", title = "{Petrify}: a tool for manipulating concurrent specifications and synthesis of asynchronous controllers", postscript= {ftp://ftp.ac.upc.es/pub/archives/cad/petrify/petrify.ps.gz}, url = "http://www.lsi.upc.es/~jordic/petrify/refs/", journal= ieicetis, volume = "E80-D", number = 3, pages = "315--325", month = mar, year = 1997 } @ARTICLE{Cortadella98, author = "Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexandre Yakovlev", title = "Deriving {Petri} Nets from Finite Transition Systems", pages = "859--882", journal= ieeetc, volume = 47, number = 8, month = aug, year = 1998 } @INPROCEEDINGS{Cortadella98a, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alexandre Yakovlev", title = "Automatic handshake expansion and reshuffling using concurrency reduction", booktitle= hwpn, pages = "86--110", month = jun, year = 1998 } @INPROCEEDINGS{Cortadella98b, author = "J. Cortadella and M. Kishinevsky and A. Kondratyev and L. Lavagno and A. Taubin and A. Yakovlev", title = "Lazy transition systems: application to timing optimization of asynchronous circuits", booktitle = iccad, pages = "324--331", month = nov, year = 1998 } @ARTICLE{Cortadella99, author = "Jordi Cortadella and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Enric Pastor and Alexandre Yakovlev", title = "Decomposition and Technology Mapping of Speed-Independent Circuits Using {Boolean} Relations", journal= ieeetcad, volume = 18, number = 9, month = sep, year = 1999 } @INPROCEEDINGS{Cortadella99a, author = "Jordi Cortadella and Michael Kishinevsky and Steven M. Burns and Ken Stevens", title = "Synthesis of asynchronous control circuits with automatically generated timing assumptions", booktitle = iccad, pages = "324--331", month = nov, year = 1999 } @ARTICLE{Couranz75, author = "G. R. Couranz and D. F. Wann", title = "Theoretical and experimental behavior of synchronizers operating in the metastable region", pages = "604--616", journal= ieeetc, volume = 24, number = 6, month = jun, year = 1975 } @MISC{Cronquist95, author = "Darren C. Cronquist and Steven M. Burns", title = "Synthesis and Analysis of a Delay-Insensitive Folded {FIFO}", pages = "176--184", year = 1995 } @INPROCEEDINGS{Cummings94, author = "Uri Cummings and Andrew Lines and Alain Martin", title = "An Asynchronous Pipelined Lattice Structure Filter", pages = "126--133", booktitle= async, postscript = "http://www.cs.caltech.edu/~alains/filter.ps", month = nov, year = 1994 } @INPROCEEDINGS{Dally87, author = "Dally, William J. and Song, Paul", title = "Design of a Self-Timed {VLSI} Multicomputer Communication Controller", booktitle= iccd, publisher= icsp, pages = "230--234", year = 1987 } @INPROCEEDINGS{Davey97, author = "Andrew Davey and David Lloyd", title = "An Evaluation of Asynchronous and Synchronous Design for Superscalar Architectures", booktitle= iccd, pages = "295--300", month = oct, year = 1997 } @ARTICLE{David77, author = "Ren\'e David", title = "Modular design of asynchronous circuits defined by graphs", pages = "727--737", journal= ieeetc, volume = 26, number = 8, month = aug, year = 1977 } @TECHREPORT{David89a, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "Self-Timed {FIFO} Buffer", number = "EE PUB No. 731", institution="Department of Electrical Engineering, Technion", month = oct, year = 1989 } @TECHREPORT{David89b, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "Self-Timed Reduced Instruction Set Computer", number = "EE PUB No. 732", institution="Department of Electrical Engineering, Technion", month = oct, year = 1989 } @ARTICLE{David89c, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "An Efficient Implementation of Boolean Functions and Finite State Machines as Self-Timed Circuits", journal= "ACM/Sigarch Computer Architecture News", month = dec, year = 1989 } @TECHREPORT{David90, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "Self-Timed is Self-Diagnostic", key = "testing", number = "EE PUB No. 758", institution="Department of Electrical Engineering, Technion", month = nov, year = 1990 } @INPROCEEDINGS{David90a, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "An Efficient Implementation of Boolean Functions and Finite State Machines as Self-Timed Circuits", pages = "148--155", booktitle= "International Conference on Computer Systems and Software Engineering (COMP-EURO)", publisher= icsp, month = may, year = 1990 } @ARTICLE{David92a, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "An Efficient Implementation of Boolean Functions as Self-Timed Circuits", journal= ieeetc, volume = 41, number = 1, pages = "2--11", month = jan, year = 1992 } @ARTICLE{David92b, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "Implementing Sequential Machines as Self-Timed Circuits", journal= ieeetc, volume = 41, number = 1, pages = "12--17", month = jan, year = 1992 } @INPROCEEDINGS{David93, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "Self-Timed Architecture of a Reduced Instruction Set Computer", pages = "29--43", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", postscript = "http://ftp.technion.ac.il/pub/supported/ee/VLSI/risc.ps.Z", publisher= esp, year = 1993 } @ARTICLE{David95, author = "Ilana David and Ran Ginosar and Michael Yoeli", title = "Self-Timed is Self-Checking", journal= jetta, pages = "219--228", volume = 6, number = 2, month = apr, year = 1995 } @INPROCEEDINGS{Davies96, author = "Rhodri M. Davies and John V. Woods", title = "Timing Verification for Asynchronous Design", booktitle= eurodac, month = sep, year = 1996 } @INPROCEEDINGS{Davis93a, author = "A. Davis and B. Coates and K. Stevens", title = "The {P}ost {O}ffice Experience: Designing a Large Asynchronous Chip", pages = "409--418", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @INPROCEEDINGS{Davis93b, author = "A. Davis and B. Coates and K. Stevens", title = "Automatic Synthesis of Fast Compact Asynchronous Control Circuits", pages = "193--207", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @INPROCEEDINGS{Davis95, author = "Al Davis and Steven M. Nowick", title = "Asynchronous Circuit Design: Motivation, Background, and Methods", pages = "1--49", editor = "Graham Birtwistle and Al Davis", booktitle= "Asynchronous Digital Circuit Design", publisher= springer, series = wic, year = 1995 } @INPROCEEDINGS{Davis95a, author = "Al Davis", title = "Synthesizing Asynchronous Circuits: Practice and Experience", pages = "104--150", editor = "Graham Birtwistle and Al Davis", booktitle= "Asynchronous Digital Circuit Design", publisher= springer, series = wic, year = 1995 } @TECHREPORT{Davis97, author = "Al Davis and Steven M. Nowick", title = "An Introduction to Asynchronous Circuit Design", institution=utahcs, number = "UUCS-97-013", pdf = "http://www.cs.columbia.edu/~nowick/ald-nowick-tr-intro.pdf", postscript= "http://www.cs.columbia.edu/~nowick/ald-nowick-tr-intro.ps", month = sep, year = 1997 } @INCOLLECTION{Davis98, author = "Al Davis and Steven M. Nowick", title = "An Introduction to Asynchronous Circuit Design", editor = "A. Kent and J. G. Williams", booktitle= "The Encyclopedia of Computer Science and Technology", publisher= "Marcel Dekker, New York", volume = 38, month = feb, year = 1998 } @ARTICLE{Dax97, author = "Mark Dax", title = "Test Quality of Asynchronous Circuits", journal= "Semiconductor International", pages = 44, month = jan, year = 1997 } @ARTICLE{Day95, author = "Paul Day and J. Viv Woods", title = "Investigation into Micropipeline Latch Design Styles", pages = "264--272", journal= ieeevlsi, volume = 3, number = 2, html = "http://www.cs.man.ac.uk/amulet/publications/papers/Pipelatch.html", month = jun, year = 1995 } @INPROCEEDINGS{Dean91, author = "Dean, Mark and Williams, Ted and Dill, David", title = "Efficient Self-Timing with Level-Encoded 2-Phase Dual-Rail ({LEDR})", pages = "55--70", booktitle= arvlsi, editor = "S\'equin, Carlo H.", publisher= mit, year = 1991 } @INPROCEEDINGS{Dean91a, author = "Mark E. Dean and David L. Dill and Mark Horowitz", title = "Self-Timed Logic Using Current-Sensing Completion Detection ({CSCD})", pages = "187--191", booktitle= iccd, publisher= icsp, month = oct, year = 1991 } @PHDTHESIS{Dean92, author = "Mark E. Dean", title = "{STRiP}: A Self-Timed {RISC} Processor Architecture", school = "Stanford University", year = 1992 } @ARTICLE{Dean94, author = "Mark E. Dean and David L. Dill and Mark Horowitz", title = "Self-Timed Logic Using Current-Sensing Completion Detection ({CSCD})", pages = "7--16", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @INPROCEEDINGS{Dedou99, author = "Joseph O. Dedou and Daniel Chillet and Olivier Sentieys", title = "Behavioral Synthesis of Asynchronous Systems: A Methodology", booktitle= iscas, year = 1999 } @INPROCEEDINGS{Deng95, author = "Z. J. Deng and S. R. Whiteley and T. Van Duzer", title = "Data-driven self-timing of {RSFQ} digital integrated circuits", booktitle= "Extended Abstracts of ISEC 95", pages = "189--191", month = sep, year = 1995 } @INPROCEEDINGS{Deng97, author = "Z. J. Deng and N. Yoshikawa and U. Ghoshal and S. Whiteley and T. Van Duzer", title = "20 {Gb/s} self-timed vector processing with {Josephson} single-flux quantum technology", booktitle= isscc, pages = "128--129", year = 1997 } @ARTICLE{Deng97a, author = "Z. J. Deng and N. Yoshikawa and S. R. Whiteley and T. Van Duzer", title = "Data-driven self-timed {RSFQ} digital integrated circuit and system", journal= ieeetas, volume = 7, number = 2, pages = "3634--3637", month = jun, year = 1997 } @INPROCEEDINGS{Deng97b, author = "Z. J. Deng and N. Yoshikawa and S. R. Whiteley and T. Van Duzer", title = "Asynchronous design methodology for {RSFQ} digital system", booktitle= "Extended Abstracts of ISEC 97", month = jul, year = 1997 } @PHDTHESIS{Deng97c, author = "Z. John Deng", title = "Self-Timing and Vector-Processing in Superconducting Single Flux Quantum Digital Technology", school = "University of California, Berkeley", year = 1997 } @ARTICLE{Deng97d, author = "Z. J. Deng and N. Yoshikawa and S. R. Whiteley and T. Van Duzer", title = "Data-driven self-timed {RSFQ} high-speed test system", journal= ieeetas, volume = 7, number = 4, pages = "3830--3833", month = dec, year = 1997 } @INPROCEEDINGS{Deng98, author = "Z. J. Deng and N. Yoshikawa and J. A. Tiemo and S. R. Whiteley and T. Van Duzer", title = "Asynchronous circuits and systems in superconducting {RSFQ} technology", pages = "274--285", booktitle= async, year = 1998 } @ARTICLE{Deng98a, author = "Z. J. Deng and N. Yoshikawa and S. R. Whiteley and T. Van Duzer", title = "Design and testing of data-driven self-timed {RSFQ} shift register", pages = "585--589", journal= "Applied Superconductivity", volume = 6, number = "10--12", year = 1998 } @ARTICLE{Deng99, author = "Z. J. Deng and N. Yoshikawa and S. R. Whiteley and T. Van Duzer", title = "Self-timing and vector processing in {RSFQ} digital circuit technology", journal= ieeetas, volume = 9, number = 1, pages = "7--17", month = mar, year = 1999 } @ARTICLE{Deng99a, author = "Z. J. Deng and N. Yoshikawa and S. R. Whiteley and T. Van Duzer", title = "Simulation and 18 {Gb/s} testing of a data-driven self-timed {RSFQ} demultiplexer", journal= ieeetas, volume = 9, number = 2, pages = "4349--4352", month = jun, year = 1999 } @ARTICLE{Denning85, author = "Denning, Peter J.", title = "The Science of Computing: The Arbitration Problem", journal= "American Scientist", volume = 73, pages = "516--518", month = dec, year = 1985 } @INPROCEEDINGS{Dennis70, author = "J. B. Dennis", title = "Modular asynchronous control structures for a high performance processor", booktitle="Project MAC Conf. on Concurrent Systems and Parallel Computation", pages = "55--80", year = 1970 } @INPROCEEDINGS{Dennis71, author = "J. B. Dennis and S. S. Patil", title = "Speed-independent Asynchronous Circuits", pages = "55--58", booktitle= hicss, year = 1971 } @INPROCEEDINGS{Devadas92, author = "S. Devadas and K. Keutzer and S. Malik and A. Wang", title = "Verification of Asynchronous Interface Circuits with Bounded Wire Delays", pages = "188--195", booktitle= iccad, publisher= icsp, month = nov, year = 1992 } @ARTICLE{Devadas94, author = "Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert Wang", title = "Verification of Asynchronous Interface Circuits with Bounded Wire Delays", pages = "161--182", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @INPROCEEDINGS{DeWeerth97, author = "Stephen P. DeWeerth and Girish N. Patel Dave and E. Schimmel and Mario F. Simoni and Ronald L. Calabrese", title = "A {VLSI} Architecture for Modeling Intersegmental Coordination", pages = "182--200", booktitle= arvlsi, month = sep, year = 1997 } @INPROCEEDINGS{Dhanesha93, author = "Hema Dhanesha and Alexander Albicki", title = "Self-timed Adder with Pipelined Output", pages = "855--858", booktitle= midwest, year = 1993 } @INPROCEEDINGS{Dill85, author = "David L. Dill and Edmund M. Clarke", title = "Automatic Verification of Asynchronous Circuits using Temporal Logic", booktitle= "1985 Chapel Hill Conference on {VLSI}", publisher= csp, editor = "Henry Fuchs", pages = "127--143", year = 1985 } @ARTICLE{Dill86, author = "David L. Dill and Edmund M. Clarke", title = "Automatic Verification of Asynchronous Circuits using Temporal Logic", pages = "272--282", journal= ieepcdt, volume = 133, month = sep, year = 1986 } @INPROCEEDINGS{Dill88a, author = "Dill, David L.", title = "Trace Theory for Automatic Hierarchical Verification of Speed-Independent Circuits", pages = "51--65", booktitle= arvlsi, editor = "Allen, Jonathan and Leighton, F. Thomson", publisher= mit, year = 1988 } @BOOK{Dill89, author = "David L. Dill", title = "Trace Theory for Automatic Hierarchical Verification of Speed-Independent Circuits", series = "{ACM} Distinguished Dissertations", publisher= mit, year = 1989 } @INPROCEEDINGS{Dill89a, author = "Dill, David L. and Nowick, Steven M. and Sproull, Robert F.", title = "Automatic Verification of Speed-Independent Circuits with {Petri} Net Specifications", pages = "212--216", booktitle= iccd, publisher= icsp, year = 1989 } @TECHREPORT{Dill89b, author = "Dill, David L. and Nowick, Steven M. and Sproull, Robert F.", title = "Specification and Automatic Verification of Self-Timed Queues", number = "CSL-TR-89-387", institution="Stanford University", month = aug, year = 1989 } @ARTICLE{Dill92, author = "Dill, David L. and Nowick, Steven M. and Sproull, Robert F.", title = "Specification and Automatic Verification of Self-Timed Queues", pages = "29--60", journal= fmsd, volume = 1, number = 1, month = jul, year = 1992 } @PHDTHESIS{Ebergen87, author = "Ebergen, Jo C.", title = "Translating Programs into Delay-Insensitive Circuits", school = eut, year = 1987 } @BOOK{Ebergen89, author = "Ebergen, Jo C.", title = "Translating Programs into Delay-Insensitive Circuits", publisher= "Centre for Mathematics and Computer Science", series = "{CWI} Tract", volume = 56, year = 1989 } @TECHREPORT{Ebergen89a, author = "Jo C. Ebergen", title = "From Functional Specification to a Delay-Insensitive Circuit", institution= "University of Waterloo", number = "CS-89-44", month = oct, year = 1989 } @TECHREPORT{Ebergen90, author = "Jo C. Ebergen", title = "Arbiters: An Exercise in Specifying and Decomposing Asynchronously Communicating Components", type = "Research Report", number = "CS-90-29", institution= "Computer Science Dept., Univ. of Waterloo, Canada", month = jul, year = 1990 } @INPROCEEDINGS{Ebergen91, author = "Ebergen, Jo C.", title = "Parallel Computations and Delay-Insensitive Circuits", pages = "85--104", booktitle="{IV} Higher Order Workshop, Banff 1990", editor = "Birtwistle, Graham", publisher= springer, year = 1991 } @ARTICLE{Ebergen91a, author = "Ebergen, Jo C.", title = "A Formal Approach to Designing Delay-Insensitive Circuits", pages = "107--119", journal= dc, volume = 5, number = 3, publisher= springer, year = 1991 } @INPROCEEDINGS{Ebergen92, author = "Ebergen, Jo C. and Peeters, Ad M. G.", title = "Modulo-{N} Counters: Design and Analysis of Delay-Insensitive Circuits", pages = "27--46", booktitle= dcc, editor = "J{\o}rgen Staunstrup and Robin Sharp", series = ifip, volume = "A-5", publisher= esp, year = 1992 } @ARTICLE{Ebergen92a, author = "Jo C. Ebergen", title = "Arbiters: an exercise in specifying and decomposing asynchronously communicating components", pages = "223--245", journal= "Science of Computer Programming", volume = 18, number = 3, month = jun, year = 1992 } @ARTICLE{Ebergen93, author = "Jo C. Ebergen and Ad M. G. Peeters", title = "Design and Analysis of Delay-Insensitive Modulo-{N} Counters", journal= fmsd, volume = 3, number = 3, pages = "211--232", month = dec, year = 1993 } @INPROCEEDINGS{Ebergen93a, author = "Jo Ebergen and Sylvain Gingras", title = "A Verifier for Network Decompositions of Command-Based Specifications", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @INPROCEEDINGS{Ebergen93b, author = "J. C. Ebergen and P. F. Bertrand and S. Gingras", title = "Solving a Mutual Exclusion Problem with the RGD Arbiter", pages = "137--147", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @TECHREPORT{Ebergen93c, author = "Jo C. Ebergen and Sylvain Gingras", title = "An Asynchronous Stack with Constant Response Time", number = "CS-93-11", institution= "Computer Science Dept., Univ. of Waterloo, Canada", month = jan, year = 1993 } @TECHREPORT{Ebergen94, author = "Jo C. Ebergen and John Segers and Igor Benko", title = "Parallel Program and Asynchronous Circuit Design", number = "CS-94-10", institution= "Computer Science Dept., Univ. of Waterloo, Canada", month = mar, year = 1994 } @INPROCEEDINGS{Ebergen95, author = "Jo C. Ebergen and John Segers and Igor Benko", title = "Parallel Program and Asynchronous Circuit Design", pages = "51--103", editor = "Graham Birtwistle and Al Davis", booktitle= "Asynchronous Digital Circuit Design", publisher= springer, series = wic, html = "http://maveric0.uwaterloo.ca/Abstracts/Banff93.html", year = 1995 } @ARTICLE{Ebergen95a, author = "Jo Ebergen and Robert Berks", title = "{VERDECT}: A Verifier for Asynchronous Circuits", journal= tcca, postscript = "ftp://maveric.uwaterloo.ca/pub/reports/All-reports/tcca-letter.ps.gz", month = oct, year = 1995 } @INPROCEEDINGS{Ebergen97, author = "Jo Ebergen and Robert Berks", title = "Response Time Properties of Some Asynchronous Circuits", pages = "76--86", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Ebergen98, author = "J. C. Ebergen and S. Fairbanks and I. E. Sutherland", title = "Predicting performance of micropipelines using {Charlie} diagrams", pages = "238--246", booktitle= async, year = 1998 } @ARTICLE{Ebergen99, author = "Jo Ebergen and Robert Berks", title = "Response Time Properties of Linear Asynchronous Pipelines", pages = "308--318", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @ARTICLE{Eichelberger65, author = "E. B. Eichelberger", title = "Hazard Detection in Combinational and Sequential Switching Circuits", journal= "IBM Journal of Research and Development", volume = 9, pages = "90--99", month = mar, year = 1965 } @ARTICLE{Einspahr95, author = "Kent L. Einspahr and Sharad C. Seth", title = "A Switch-Level Test Generation System for Synchronous and Asynchronous Circuits", journal= jetta, volume = 6, number = 1, pages = "59--73", month = feb, year = 1995 } @INPROCEEDINGS{Eisele94, author = "W. Eisele and G. Eckstein and J. Beister", title = "{VMEbus} Controller Synthesis by Communicating Asynchronous Sequential Circuits", booktitle= "IFIP Workshop on Logic and Architecture Synthesis", pages = "261--265", month = dec, year = 1994 } @INPROCEEDINGS{Elston95, author = "C. J. Elston and D. B. Christianson and P. A. Findlay and G. B. Steven", title = "{Hades} - Towards the Design of an Asynchronous Superscalar Processor", pages = "200--209", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Emerson97, author = "K. Emerson", title = "Asynchronous design---an interesting alternative", booktitle=ivlsi, pages = "318--320", month = jan, year = 1997 } @MASTERSTHESIS{Endecott93, author = "P. B. Endecott", title = "Processor Architectures for Power Efficiency and Asynchronous Implementation", school = mcu, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/theses/endecott_msc.ps.gz", year = 1993 } @ARTICLE{Endecott95, author = "Philip B. Endecott", title = "Parallel Structures for Asynchronous Microprocessors", journal= tcca, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/para_structs.ps.gz", month = oct, year = 1995 } @ARTICLE{Endecott96, author = "P. B. Endecott", title = "Superscalar instruction issue in an asynchronous microprocessor", pages = "266--272", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @INPROCEEDINGS{Endecott98, author = "Philip Endecott and Stephen Furber", title = "Behavioural Modelling of Asynchronous Systems for Power and Performance Analysis", pages = "137--146", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle= patmos, month = oct, year = 1998 } @ARTICLE{Escriba96, author = "J. Escriba and J. A. Carrasco", title = "Self-timed {Manchester} chain carry propagate adder", journal= el, volume = 32, number = 8, pages = "708--710", year = 1996 } @INPROCEEDINGS{Eshraghian97, author = "K. Eshraghian and J. A. Montiel-Nelson and S. Nooshabadi", title = "An asynchronous morphological processor for multi-media applications", booktitle=ivlsi, pages = "336--341", month = jan, year = 1997 } @INPROCEEDINGS{Fan91, author = "Xingcha Fan and Neil Bergmann", title = "Design of Elements for a Self-timed Fast Packet Switch", pages = "1025--1028", booktitle= iscas, volume = 2, year = 1991 } @INPROCEEDINGS{Fan92, author = "Xingcha Fan and Neil Bergmann", title = "Architecture design of a fully asynchronous {VLSI} chip for {DSP} custom applications", pages = "2112--2115", booktitle= iscas, volume = 5, year = 1992 } @TECHREPORT{Fang83, author = "Fang, Ting-Pien and Molnar, Charles E.", title = "Synthesis of Reliable Speed-Independent Circuit Modules: {II}. Circuit and Delay Conditions to Ensure Operation Free of Problems from Races and Hazards", type = "Technical Memorandum", number = 298, institution= "Computer Systems Laboratory, Institute for Biomedical Computing, Washington Univ., St. Louis, MO", year = 1983 } @TECHREPORT{Fang86a, author = "Fang, Ting-Pien", title = "On Decomposition of Delay-Insensitive Modules by Factoring", type = "Technical Memorandum", number = 314, institution= "Computer Systems Laboratory, Washington Univ., St. Louis, MO", month = jul, year = 1986 } @TECHREPORT{Fang86b, author = "Fang, Ting-Pien", title = "An Extension of {Q}-Module Realization", type = "Technical Memorandum", number = 317, institution= "Computer Systems Laboratory, Washington Univ., St. Louis, MO", month = nov, year = 1986 } @INPROCEEDINGS{Fant96, author = "Karl M. Fant and Scott A. Brandt", title = "{NULL} Conventional Logic: A Complete and Consistent Logic for Asynchronous Digital Circuit Synthesis", booktitle= "International Conference on Application-specific Systems, Architectures, and Processors", pages = "261--273", year = 1996 } @INPROCEEDINGS{Farnsworth94, author = "Craig Farnsworth and Doug Edwards and Shiv Sikand", title = "Utilizing Dynamic Logic for Low Power Consumption in Asynchronous Circuits", pages = "186--194", booktitle= async, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/util3.ps.Z", month = nov, year = 1994 } @INPROCEEDINGS{Farnsworth95, author = "C. Farnsworth and D. A. Edwards and Jianwei Liu and S. S. Sikand", title = "A Hybrid Asynchronous System Design Environment", pages = "91--98", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Farnsworth95a, author = "C. Farnsworth and P. Day and D. A. Edwards and S. V. Morton and S. S. Appleton and M. J. Liebelt", title = "Asynchronous pipelining techniques and applications", pages = "86--90", booktitle= "Proceeding of Microelectronics 1995", month = jul, year = 1995 } @ARTICLE{Fisher93, author = "P. David Fisher and Sheng-Fu Wu", title = "Race-Free State Assignments for Synthesizing Large-Scale Asynchronous Sequential Logic Circuits", journal= ieeetc, volume = 42, number = 9, pages = "1025--1034", month = sep, year = 1993 } @ARTICLE{Fleischhammer79, author = "W. Fleischhammer and O. Dortok", title = "The anomalous behavior of flip-flops in synchronizer circuits", pages = "273--276", journal= ieeetc, volume = 28, number = 3, note = "Comments: see Lacroix 1982", month = mar, year = 1979 } @INPROCEEDINGS{Franca98, author = "Felipe M. G. Fran\c{c}a and Vladimir C. Alves and Edson P. Granja", title = "Edge Reversal-Based Asynchronous Timing Synthesis", booktitle= iscas, pages = "45--48", month = jun, year = 1998 } @INPROCEEDINGS{Frank83, author = "Edward H. Frank and Robert F. Sproull", title = "A Self-Timed Static {RAM}", editor = "Randal Bryant", booktitle= "Proceedings of Third Caltech Conference on {VLSI}", publisher= csp, pages = "275--285", year = 1983 } @INPROCEEDINGS{Franklin83, author = "M. A. Franklin and D. Wann and S. Dhar", title = "Regular Array Processors: Asynchronous versus Clocked Control", booktitle= "Proceedings 1983 Conf. on Information Science and Systems", pages = "724--732", year = 1983 } @INPROCEEDINGS{Franklin93, author = "Mark A. Franklin and Tienyo Pan", title = "Clocked and asynchronous instruction pipelines", pages = "177--184", booktitle= "Proc. 26th ACM/IEEE Symp. on Microarchitecture", address= "Austin, TX", month = dec, year = 1993 } @INPROCEEDINGS{Franklin94, author = "Mark A. Franklin and Tienyo Pan", title = "Performance Comparison of Asynchronous Adders", pages = "117--125", booktitle= async, month = nov, year = 1994 } @ARTICLE{Friedman68, author = "A. D. Friedman and P. R. Menon", title = "Synthesis of Asynchronous Sequential Circuits with Multiple-Input Changes", journal= ieeetc, volume = "C-17", number = 6, pages = "559--566", month = jun, year = 1968 } @ARTICLE{Friedman69, author = "A. D. Friedman and R. L. Graham and J. D. Ullman", title = "Universal single transition time asynchronous state assignments", journal= ieeetc, volume = "C-18", pages = "541--547", month = jun, year = 1969 } @ARTICLE{Friedman71, author = "A. D. Friedman and P. R. Menon", title = "Systems of Asynchronously Operating Modules", journal= ieeetc, volume = 20, pages = "100--104", year = 1971 } @INPROCEEDINGS{Frosini71, author = "G. Frosini and G. B. Gerace", title = "Synthesis of asynchronous sequential circuits with master-slave subcircuits", pages = "60--78", booktitle= ssat, publisher= icsp, year = 1971 } @INPROCEEDINGS{Fuhrer95, author = "Robert M. Fuhrer and Bill Lin and Steven M. Nowick", title = "Symbolic Hazard-Free Minimization and Encoding of Asynchronous Finite State Machines", booktitle= iccad, publisher= icsp, year = 1995 } @TECHREPORT{Fuhrer99, author = "R. M. Fuhrer and S. M. Nowick and M. Theobald and N. K. Jha and B. Lin and L. Plana", title = "Minimalist: An environment for the synthesis, verification and testability of burst-mode asynchronous machines", institution="Columbia University, NY", number = "TR CUCS-020-99", month = jul, year = 1999 } @PHDTHESIS{Fuhrer99a, author = "Robert M. Fuhrer", title = "Sequential optimization of asynchronous and synchronous finite-state machines", school = "Columbia University, NY", year = 1999 } @INPROCEEDINGS{Fuhrer99b, author = "Robert M. Fuhrer and Steven M. Nowick", title = "{OPTIMISTA}: State Minimization of Asynchronous {FSM}s for Optimum Output Logic", pages = "7--13", booktitle= iccad, publisher= icsp, month = nov, year = 1999 } @ARTICLE{Furber93, author = "S. B. Furber", title = "Breaking step: the return of asynchronous logic", journal= "IEE Review", volume = 39, number = 4, pages = "159--162", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/IEErev.ps.Z", month = jul, year = 1993 } @INPROCEEDINGS{Furber93a, author = "S. B. Furber and P. Day and J. D. Garside and N. C. Paver and J. V. Woods", title = "A Micropipelined {ARM}", editor = "T. Yanagawa and P. A. Ivey", booktitle= "Proceedings of VLSI 93", pages = "5.4.1--5.4.10", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/VLSI93.ps.Z", month = sep, year = 1993 } @INPROCEEDINGS{Furber94, author = "S. B. Furber and P. Day and J. D. Garside and N. C. Paver and J. V. Woods", title = "{AMULET1: A micropipelined ARM}", booktitle= "Proceedings IEEE Computer Conference (COMPCON)", pages = "476--485", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/CompCon94.ps.Z", month = mar, year = 1994 } @INPROCEEDINGS{Furber94a, author = "S. B. Furber and P. Day and J. D. Garside and N. C. Paver and S. Temple and J. V. Woods", title = "The Design and Evaluation of an Asynchronous Microprocessor", booktitle= iccd, publisher= icsp, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/ICCD94.ps.Z", month = oct, year = 1994 } @INPROCEEDINGS{Furber95, author = "S. Furber", title = "Computing without Clocks: Micropipelining the {ARM} Processor", pages = "211--262", editor = "Graham Birtwistle and Al Davis", booktitle= "Asynchronous Digital Circuit Design", publisher= springer, series = wic, year = 1995 } @INPROCEEDINGS{Furber96, author = "S. B. Furber and J. Liu", title = "Dynamic Logic in Four-Phase Micropipelines", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Furber96a, author = "Stephen B. Furber and Paul Day", title = "Four-Phase Micropipeline Latch Control Circuits", pages = "247--253", journal= ieeevlsi, volume = 4, number = 2, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/4phCtl.ps.gz", month = jun, year = 1996 } @INPROCEEDINGS{Furber96b, author = "S. B. Furber and P. Day and J. D. Garside and N. C. Paver and S. Temple", title = "{AMULET2e}", editor = "C. Muller-Schloer and F. Geerinckx and B. Stanford-Smith and R. van Riet", booktitle= "Embedded Microprocessor Systems", note = "Proceedings of EMSYS'96 - OMI Sixth Annual Conference", month = sep, year = 1996 } @ARTICLE{Furber96c, author = "S. B. Furber", title = "Real-Time Without Clocks?", journal= "Real-Time Magazine", volume = "96/4", pages = "88--91", year = 1996 } @INPROCEEDINGS{Furber97, author = "S. B. Furber and J. D. Garside and S. Temple and J. Liu and P. Day and N. C. Paver", title = "{AMULET2e}: An Asynchronous Embedded Controller", pages = "290--299", booktitle= async, publisher= icsp, month = apr, year = 1997 } @PROCEEDINGS{Furber97a, editor = "S. B. Furber and A. V. Yakovlev", title = "Proceedings of the Second {UK} Asynchronous Forum", institution= "Dept. of Comp. Science, Univ. of Newcastle upon Tyne", year = 1997 } @INPROCEEDINGS{Furber97b, author = "S. B. Furber", title = "Asynchronous Design", editor = "W. Nebel and J. Mermet", booktitle= "Proceedings of Submicron Electronics, Il Ciocco, Italy, 20--30 Aug. 1996", pages = "461--492", year = 1997 } @INPROCEEDINGS{Furber98, author = "Stephen B. Furber and James D. Garside and David A. Gilbert", title = "{AMULET3}: A High-Performance Self-Timed {ARM} Microprocessor", booktitle= iccd, month = oct, year = 1998 } @INPROCEEDINGS{Furber98a, author = "S. B. Furber and J. D. Garside and S. Temple", title = "Power-Saving Features in {Amulet2e}", booktitle="Power Driven Microarchitecture Workshop", month = jun, year = 1998 } @ARTICLE{Furber99, author = "Stephen B. Furber and James D. Garside and Peter Riocreux and Steven Temple and Paul Day and Jianwei Liu and Nigel C. Paver", title = "{AMULET2e}: An Asynchronous Embedded Controller", pages = "243--256", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @MASTERSTHESIS{Gageldonk94, author = "Gageldonk, Hans van", title = "The Asynchronous Move Machine: Verification using {CCS}", school = eut, month = aug, year = 1994 } @INPROCEEDINGS{Gageldonk96, author = "Gageldonk, Hans van", title = "{VLSI}-Programming of Low-Power Applications", booktitle= prorisc, year = 1996 } @INPROCEEDINGS{Gageldonk98, author = "Gageldonk, Hans van and Daniel Baumann and Kees van Berkel and Daniel Gloor and Ad Peeters and Gerhard Stegmann", title = "An Asynchronous Low-Power 80C51 Microcontroller", pages = "96--107", booktitle= async, year = 1998 } @PHDTHESIS{Gageldonk98a, author = "Gageldonk, Hans van", title = "An Asynchronous Low-Power 80C51 Microcontroller", school = eut, month = sep, year = 1998 } @ARTICLE{Gaj97, author = "K. Gaj and E. G. Friedman and M. J. Feldman", title = "Timing of multi-gigahertz rapid single flux quantum digital circuits", journal= vlsisp, volume = 16, number = "2-3", pages = "247--276", year = 1997 } @MASTERSTHESIS{Gamble94, author = "Mike J. Gamble", title = "A novel current-sensing completion-detection circuit adapted to the micropipeline methodology", school = "University of Manitoba, Canada", number = "UMECE-TR-94-xx5", year = 1994 } @INPROCEEDINGS{Gammelgaard89, author = "Gammelgaard, Anders", title = "Implementation Conditions for Delay-Insensitive Circuits", booktitle= "{PARLE} '89: Parallel Architectures and Languages Europe", editor = "Odijk, E. and Rem, M. and Syre, J.-C.", series = lncs, volume = 365, pages = "341--355", publisher= springer, year = 1989 } @INPROCEEDINGS{Garcia98, author = "T. A. Garcia and A. J. Acosta and J. M. Mora and J. M. Ramos and J. L. Huertas", title = "Self-timed boundary-scan cells for multi-chip module test", booktitle= vts, month = apr, year = 1998 } @INPROCEEDINGS{Garside93, author = "Jim D. Garside", title = "A {CMOS} {VLSI} Implementation of an Asynchronous {ALU}", pages = "181--207", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/ALU.ps.Z", year = 1993 } @INPROCEEDINGS{Garside96, author = "J. D. Garside and S. Temple and R. Mehra", title = "The {AMULET2e} Cache System", booktitle= async, publisher= icsp, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/async96_cache.ps.gz", month = mar, year = 1996 } @INPROCEEDINGS{Garside99, author = "J. D. Garside and S. B. Furber and S.-H. Chung", title = "{AMULET3} Revealed", pages = "51--59", booktitle= async, month = apr, year = 1999 } @ARTICLE{Gibbs95, author = "W. Wayt Gibbs", title = "Turning Back the Clock", journal= "Scientific American", volume = 272, number = 6, month = jun, year = 1995 } @INPROCEEDINGS{Gilbert97, author = "D. A. Gilbert and J. D. Garside", title = "A Result Forwarding Mechanism for Asynchronous Pipelined Systems", booktitle= async, pages = "2--11", publisher= icsp, month = apr, year = 1997 } @PHDTHESIS{Gilbert97a, author = "David Alan Gilbert", title = "Dependency and Exception Handling in an Asynchronous Microprocessor", school = mcu, year = 1997 } @ARTICLE{Gilchrist55, author = "Bruce Gilchrist and J. H. Pomerene and S. Y. Wong", title = "Fast Carry Logic for Digital Computers", pages = "133--136", journal= "IRE Transactions on Electronic Computers", volume = "EC-4", number = 4, month = dec, year = 1955 } @INPROCEEDINGS{Ginosar98, author = "Ran Ginosar and Rakefet Kol", title = "Adaptive Synchronization", booktitle= iccd, pages = "188--189", month = oct, year = 1998 } @INPROCEEDINGS{Gloria92, author = "A. De Gloria and P. Faraboschi and M. Olivieri", title = "A delay-insensitive approach to the {VLSI} design of a {DRAM} controller", booktitle= "EUROMICRO 92", month = sep, year = 1992 } @ARTICLE{Gloria93, author = "A. De Gloria and P. Faraboschi and M. Olivieri", title = "Delay-insensitive micropipelined combinational logic", journal= "Microproc. \& Microprog., Euromicro Journal", volume = 36, pages = "225--241", month = oct, year = 1993 } @ARTICLE{Gloria94, author = "Alessandro De Gloria and Paolo Faraboschi and Mauro Olivieri", title = "Design and Characterization of a Standard Cell Set for Delay Insensitive {VLSI} Design", journal= "IEEE Transactions on Circuits and Systems, II: Analog and Digital Signal Processing", pages = "410--415", volume = 41, number = 6, month = jun, year = 1994 } @ARTICLE{Gloria95, author = "Alessandro De Gloria and Mauro Olivieri", title = "Efficient Semicustom Micropipeline Design", journal= ieeevlsi, pages = "464--469", volume = 3, number = 3, month = sep, year = 1995 } @ARTICLE{Gloria96, author = "Alessandro De Gloria and Mauro Olivieri", title = "Statistical Carry Lookahead Adders", journal= ieeetc, pages = "340--347", volume = 45, number = 3, month = mar, year = 1996 } @INPROCEEDINGS{Goldschlag91, author = "D. M. Goldschlag", title = "Mechanically verifying safety and liveness properties of delay insensitive circuits", pages = "354--364", editor = "K. G. Larsen and A. Skou", booktitle= cav, series = lncs, publisher= springer, volume = 575, year = 1991 } @INPROCEEDINGS{Goodman88, author = "Goodman, Rodney M. and McAuley, Anthony J.", title = "An Efficient Asynchronous Multiplier", pages = "593--599", booktitle= "Proceedings of the Second International Conference on Systolic Arrays", editor = "Bromley, K. and Kung, S.-Y. and Swartzlander, E.", publisher= icsp, month = may, year = 1988 } @INPROCEEDINGS{Goodman89, author = "Rodney M. Goodman and Kathleen A. Kramer and Anthony J. McAuley", title = "Exploiting the Inherent Fault Tolerance of Asynchronous Arrays", pages = "567--576", booktitle= "Systolic Array Processors", note = "Proceedings of the International Conference on Systolic Arrays", editor = "J. McCanny and J. McWhirter and E. Swartzlander", publisher= ph, month = may, year = 1989 } @TECHREPORT{Goossens92, author = "Gert Goossens and Mark B. Josephs", title = "Proceedings of {ACiD-WG/EXACT} Workshop on Asynchronous Controllers and Interfaces", institution= "IMEC, Leuven, Belgium", number = "EXACT/D.2/IMEC/m3/D1", month = sep, year = 1992 } @INPROCEEDINGS{Gopalakrishnan90, author = "Gopalakrishnan, Suresh K. and Maki, Gary K.", title = "{VLSI} Asynchronous Sequential Circuit Design", pages = "238--242", booktitle= iccd, publisher= icsp, month = sep, year = 1990 } @TECHREPORT{Gopalakrishnan90a, author = "Ganesh Gopalakrishnan and Prabhat Jain", title = "Some Recent Asynchronous System Design Methodologies", institution= utahcs, number = "UUCS-TR-90-016", month = oct, year = 1990 } @ARTICLE{Gopalakrishnan92, author = "G. Gopalakrishnan and V. Akella", title = "{VLSI} Asynchronous Systems: Specification and Synthesis", journal= "Microprocessors and Microsystems", volume = 16, number = 10, pages = "517-527", year = 1992 } @TECHREPORT{Gopalakrishnan93, author = "Ganesh Gopalakrishnan", title = "Some Unusual Micropipeline Circuits", institution= utahcs, number = "UUCS-93-015", month = jul, year = 1993 } @INPROCEEDINGS{Gopalakrishnan93a, author = "G. Gopalakrishnan and V. Akella", title = "Specification, Simulation, and Synthesis of Self-Timed Circuits", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @INPROCEEDINGS{Gopalakrishnan93b, author = "G. Gopalakrishnan and V. Akella", title = "A Transformational Approach to Asynchronous High-level Synthesis", editor = "T. Yanagawa and P. A. Ivey", booktitle= "Proceedings of VLSI 93", pages = "5.3.1--5.3.10", month = sep, year = 1993 } @ARTICLE{Gopalakrishnan94, author = "Ganesh Gopalakrishnan and Venkatesh Akella", title = "High Level Optimizations in Compiling Process Descriptions to Asynchronous Circuits", pages = "33--45", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @ARTICLE{Gopalakrishnan94a, author = "Ganesh Gopalakrishnan and Erik Brunvand and Nick Michell and Steven Nowick", title = "A Correctness Criterion for Asynchronous Circuit Validation and Optimization", pages = "1309--1318", journal= ieeetcad, volume = 13, number = 11, month = nov, year = 1994 } @ARTICLE{Gopalakrishnan94b, author = "Ganesh Gopalakrishnan", title = "Developing Micropipeline Wavefront Arbiters", pages = "55--64", journal= ieeedt, volume = 11, number = 4, month = "Winter", year = 1994 } @INPROCEEDINGS{Gopalakrishnan94c, author = "G. Gopalakrishnan and P. Kudva and E. Brunvand", title = "Peephole optimization of asynchronous macromodule networks", booktitle= iccd, pages = "442--446", publisher= icsp, month = oct, year = 1994 } @ARTICLE{Gopalakrishnan99, author = "Ganesh Gopalakrishnan and Prabhakar Kudva and Erik Brunvand", title = "Peephole Optimization of Asynchronous Macromodule Networks", journal= ieeevlsi, volume = 7, number = 1, pages = "30--37", month = mar, year = 1999 } @ARTICLE{Grass94, author = "Eckhard Grass and Frank Winkler", title = "Datenfluss pur - Datengetriebener Medianfilter als ungetakteter {LCA}-Schaltkreis", journal= "Elektronik", volume = 43, number = 1, pages = "68--75", note = "Purely data-flow - Implementation of a self-timed median filter in a XILINX FPGA", year = 1994 } @INPROCEEDINGS{Grass95, author = "E. Grass and S. Jones", title = "Asynchronous Circuits Based On Multiple Localised Current-Sensing Completion Detection", pages = "170--177", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Grass96, author = "E. Grass and R. C. S. Morling and I. Kale", title = "Activity Monitoring Completion Detection ({AMCD}): A new single rail approach to achieve self-timing", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Grass96a, author = "E. Grass and S. Jones", title = "Activity Monitoring Completion Detection ({AMCD}): A new approach to achieve self-timing", journal= el, volume = 32, number = 2, pages = "86--88", year = 1996 } @ARTICLE{Grass97, author = "E. Grass and V. Bartlett and I. Kale", title = "Completion-detection techniques for asynchronous circuits", journal= ieicetis, volume = "E80-D", number = 3, pages = "344--350", month = mar, year = 1997 } @INPROCEEDINGS{Greenstreet87, author = "M. R. Greenstreet and T. E. Williams and J. Staunstrup", title = "Self-Timed Iteration", booktitle="{VLSI} '87. {VLSI} Design of Digital Systems", editor = "Carlo H. S\'equin", publisher= "North-Holland", pages = "309--322", month = aug, year = 1987 } @ARTICLE{Greenstreet90, author = "Mark R. Greenstreet and Kenneth Steiglitz", title = "Bubbles can make self-timed pipelines fast", journal= vlsisp, volume = 2, number = 3, pages = "139--148", publisher= kap, month = nov, year = 1990 } @INPROCEEDINGS{Greenstreet94, author = "Mark R. Greenstreet and Peter Cahoon", title = "How fast will the flip flop?", pages = "77--86", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Greenstreet95, author = "Mark R. Greenstreet", title = "Implementing a {STARI} Chip", pages = "38--43", booktitle= iccd, publisher= icsp, year = 1995 } @INPROCEEDINGS{Greenstreet99, author = "Mark Greenstreet and Tarik Ono-Tesfaye", title = "A Fast, {asP*}, {RGD} Arbiter", pages = "173--185", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Greenstreet99a, author = "M. R. Greenstreet", title = "Real-Time Merging", pages = "186--198", booktitle= async, month = apr, year = 1999 } @MASTERSTHESIS{Greer95, author = "Jerry L. Greer", title = "A Self-Timed Circuit For Halftone Generation", school = "Dept. of Electrical Engineering, University of South Alabama", month = dec, year = 1995 } @INPROCEEDINGS{Groenboom93, author = "Rix Groenboom and Mark B. Josephs and Paul G. Lucassen and Jan Tijmen Udding", title = "Normal Form in a Delay-Insensitive Algebra", pages = "57--70", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @ARTICLE{Gu95, author = "Jun Gu and Ruchir Puri", title = "Asynchronous Circuit Synthesis with Boolean Satisfiability", pages = "961--973", journal= ieeetcad, volume = 14, number = 8, month = aug, year = 1995 } @INPROCEEDINGS{Ha84, author = "Dong Sam Ha and Sudhaker M. Reddy", title = "On testable self-timed logic circuits", key = "testing", pages = "296--301", booktitle= iccd, publisher= icsp, year = 1984 } @INPROCEEDINGS{Haans93, author = "Jaco Haans and Kees van Berkel and Ad Peeters and Frits Schalij", title = "Asynchronous Multipliers as Combinational Handshake Circuits", pages = "149--163", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @INPROCEEDINGS{Hamagucki91, author = "K. Hamaguchi and H. Hiraishi and S. Yajima", title = "Formal verification of speed-dependent asynchronous circuits using symbolic model checking of branching time regular temporal logic", pages = "410--420", editor = "K. G. Larsen and A. Skou", booktitle= cav, series = lncs, publisher= springer, volume = 575, year = 1991 } @INPROCEEDINGS{Hamagucki92, author = "Kiyoharu Hamaguchi and Hiromi Hiraishi and Shuzo Yajima", title = "Design verification of asynchronous sequential circuits using symbolic model checking", booktitle= "International Symposium on Logic Synthesis and Microprocessor Architecture", pages = "84--90", month = jul, year = 1992 } @INPROCEEDINGS{Hansen92, author = "Michael R.\ Hansen and Zhou Chaochen and J{\o}rgen Staunstrup", title = "A Real-Time Duration Semantics for Circuits", booktitle= "Tau-92: 1992 Workshop on Timing Issues in the Specification and Synthesis of Digital Systems", month = mar, year = 1992 } @INPROCEEDINGS{Hanyu98, author = "Takahiro Hanyu and Takahiro Saito and Michitaka Kameyama", title = "Asynchronous Multiple-Valued {VLSI} System Based on Dual-Rail Current-Mode Differential Logic", booktitle= ismvl, pages = "134--139", month = may, year = 1998 } @TECHREPORT{Hauck93, author = "Scott Hauck", title = "Asynchronous Design Methodologies: An Overview", institution= "Department of Computer Science and Engineering, University of Washington, Seattle", number = "TR 93-05-07", postscript = "ftp://shrimp.cs.washington.edu/pub/olympia/AsyncArt.ps.Z", year = 1993 } @ARTICLE{Hauck94, author = "Scott Hauck and Steven Burns and Geatano Borriello and Carl Ebeling", title = "An {FPGA} for Implementing Asynchronous Circuits", journal= ieeedt, pages = "60--69", volume = 11, number = 3, postscript = "ftp://shrimp.cs.washington.edu/pub/olympia/MontageJ.ps.Z", year = 1994 } @ARTICLE{Hauck95, author = "Scott Hauck", title = "Asynchronous Design Methodologies: An Overview", journal= ieeeproc, volume = 83, number = 1, pages = "69--93", month = jan, year = 1995 } @INPROCEEDINGS{Hauck98, author = "O. Hauck and M. Garg and S. A. Huss", title = "Asynchronous {VLSI} Architectures for {Hufman} Codecs", booktitle= iscas, month = jun, year = 1998 } @INPROCEEDINGS{Hauck98a, author = "O. Hauck and S. A. Huss", title = "Asynchronous Wave Pipelines for High Throughput Datapaths", booktitle="Proc. 5th IEEE Conf. on Electronics, Circuits and Systems", pages = "283--286", month = sep, year = 1998 } @INPROCEEDINGS{Hauck99, author = "O. Hauck and M. Garg and S. A. Huss", title = "Two-Phase Asynchronous Wave-Pipelines And Their Application to a {2D-DCT}", pages = "219--228", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Hauck99a, author = "O. Hauck and M. Garg and S. A. Huss", title = "Efficient and Safe Asynchronous Wave-Pipelines Architectures for Datapath and Control Unit Applications", booktitle= glsvlsi, pages = "38--41", month = mar, year = 1999 } @ARTICLE{Hayes81, author = "Alan B. Hayes", title = "Stored State Asynchronous Sequential Circuits", journal= ieeetc, volume = "C-30", number = 8, pages = "596--600", month = aug, year = 1981 } @INPROCEEDINGS{Hayes83, author = "Alan B. Hayes", title = "Self-Timed {IC} Design with {PPL}'s", pages = "257--274", editor = "Randal Bryant", booktitle= "Proceedings of Third Caltech Conference on {VLSI}", publisher= csp, year = 1983 } @INPROCEEDINGS{Hayes87, author = "N. M. Hayes and M. S. Tsay and F. D. LaRocca and P. W. Kempsey and S. H. Padnos and T. L Chang and P. P. Thomas", title = "Asynchronous Design Integrates Address Translation and Physical Data Cache for the {WE-32201 IMDC}", pages = "235--237", booktitle= iccd, year = 1987 } @PHDTHESIS{Hazewindus92, author = "Pieter J. Hazewindus", title = "Testing Delay-Insensitive Circuits", key = "testing", school = caltech, year = 1992 } @INPROCEEDINGS{He96, author = "Jifeng He and Geoffrey Brown and Wayne Luk and John W. O'Leary", title = "Deriving Handshake Modules for a Multi-Target Hardware Compiler", booktitle= dcc, year = 1996 } @INPROCEEDINGS{Heard84, author = "Bryan J. Heard and Ramu N. Sheshadri and Ronald B. David and Arvid G. Sammuli", title = "Automatic test pattern generation for asynchronous networks", pages = "63--69", booktitle= itc, publisher= icsp, key = "testing", year = 1984 } @ARTICLE{Heer95, author = "Christoph Heer", title = "Synchronous clocked and self-timed pipeline configurations", journal= tcca, month = oct, year = 1995 } @INPROCEEDINGS{Hemani99, author = "A. Hemani and T. Meincke and S. Kumar and A. Postula and T. Olsson and P. Nilsson and J. {\"O}berg and P. Ellervee and D. Lundqvist", title = "Lowering power consumption in clock by using Globally Asynchronous, Locally Synchronous Design Style", booktitle= dac, html = "http://www.ele.kth.se/~meincke/STUDIES/OwnPapers.html", year = 1999 } @ARTICLE{Hennessy83, author = "Hennessy, Matthew", title = "Synchronous and Asynchronous Experiments on Processes", journal= "Inform. and Control", volume = 59, pages = "36--83", year = 1983 } @ARTICLE{Hennessy87, author = "M. Hennessy", title = "An algebraic theory of fair asynchronous communicating processes", pages = "121--143", journal= tcs, volume = 49, year = 1987 } @ARTICLE{Hirayama87, author = "Masaharu Hirayama", title = "A Silicon Compiler System Based on Asynchronous Architecture", journal= ieeetcad, pages = "297--304", volume = 6, number = 3, month = may, year = 1987 } @ARTICLE{Hoare78, author = "C. A. R. Hoare", title = "Communicating Sequential Processes", journal= cacm, volume = 21, number = 8, pages = "666--677", month = aug, year = 1978 } @BOOK{Hoare85, author = "C. A. R. Hoare", title = "Communicating Sequential Processes", publisher= ph, year = 1985 } @ARTICLE{Hollaar82, author = "Hollaar, Lee A.", title = "Direct Implementation of Asynchronous Control Units", journal= ieeetc, volume = "C-31", number = 12, pages = "1133--1141", month = dec, year = 1982 } @MASTERSTHESIS{Hormdee98, author = "D. Hormdee", title = "An Analysis of Asynchronous Microprocessor Pipelines", school = mcu, html = "http://www.cs.man.ac.uk/amulet/publications/thesis/hormdee98_msc1.html", year = 1998 } @ARTICLE{Horstmann89, author = "Jens U. Horstmann and Hans W. Eichel and Robert L. Coates", title = "Metastability Behavior of {CMOS} {ASIC} Flip-Flops in Theory and Test", pages = "146--157", journal= ieeejssc, volume = 24, number = 1, month = feb, year = 1989 } @INPROCEEDINGS{Hsia95, author = "Calvin J. A. Hsia and C. Y. Roger Chen", title = "Synthesis of Asynchronous Circuits --- Testing Unique Circuit Behavior of Signal Transition Graphs", booktitle= iscas, pages = "1074--1077", year = 1995 } @ARTICLE{Huang93, author = "C. G. Huang and C. G. Jesshope and I. M. Nedelchev", title = "Systematic Method for Synthesising Purely Delay-Insensitive Circuits", journal= ieepcdt, volume = 140, number = 5, pages = "269--276", month = sep, year = 1993 } @INPROCEEDINGS{Huang95, author = "Hong-Yi Huang and Kuo-Hsing Cheng and Jinn-Shyan Wang and Yuan-Hua Chu and Tain-Shun Wu and Chung-Yu Wu", title = "Low-Voltage Low-Power {CMOS} True-Single-Phase Clocking Scheme with Locally Asynchronous Logic Circuits", booktitle= iscas, pages = "1572--1575", year = 1995 } @INCOLLECTION{Huffman64, author = "Huffman, D. A.", title = "The Synthesis of Sequential Switching Circuits", booktitle= "Sequential Machines: Selected Papers", editor = "Moore, E. F.", publisher= aw, year = 1964 } @INPROCEEDINGS{Hulgaard93, author = "Henrik Hulgaard and Steven M. Burns and Tod Amon and Gaetano Borriello", title = "Practical Applications of an Efficient Time Separation of Events Algorithm", booktitle= iccad, pages = "146--151", postscript = "http://www.cs.washington.edu/research/projects/lis/oetools/www/papers/iccad93.ps", year = 1993 } @TECHREPORT{Hulgaard94, author = "Henrik Hulgaard and Steven M. Burns and Gaetano Borriello", title = "Testing Asynchronous Circuits: A Survey", institution= "Department of Computer Science and Engineering, University of Washington, Seattle", number = "TR 94-03-06", postscript = "ftp://ftp.cs.washington.edu/tr/1994/03/UW-CSE-94-03-06.PS.Z", year = 1994 } @INPROCEEDINGS{Hulgaard94a, author = "Henrik Hulgaard and Steven M. Burns", title = "Bounded Delay Timing Analysis of a Class of {CSP} Programs with Choice", pages = "2--11", booktitle= async, postscript = "http://www.cs.washington.edu/research/projects/lis/oetools/www/papers/async94.ps", url = "http://www.it.dtu.dk/~henrik/papers/async94.ps.gz", month = nov, year = 1994 } @INPROCEEDINGS{Hulgaard94b, author = "Henrik Hulgaard and Tod Amon and Steven M. Burns and Gaetano Borriello", title = "Timing Analysis of Timed Event Graphs with Bounded Delays Using Algebraic Techniques", booktitle= "Proceedings of IEEE Conference on Decision and Control", postscript = "http://www.cs.washington.edu/research/projects/lis/oetools/www/papers/cdc94.ps", year = 1994 } @ARTICLE{Hulgaard95, author = "Henrik Hulgaard and Steven M. Burns and Gaetano Borriello", title = "Testing Asynchronous Circuits: A Survey", pages = "111--131", journal= integration, volume = 19, number = 3, month = nov, year = 1995 } @PHDTHESIS{Hulgaard95a, author = "Henrik Hulgaard", title = "Timing Analysis and Verification of Timed Asynchronous Circuits", school = "Department of Computer Science, University of Washington", year = 1995 } @ARTICLE{Hulgaard95b, author = "H. Hulgaard and S. M. Burns and T. Amon and G. Borriello", title = "An algorithm for exact bounds on the time separation of events in concurrent systems", pages = "1306--1317", journal= ieeetc, volume = 44, number = 11, month = nov, year = 1995 } @INPROCEEDINGS{Hulgaard95c, author = "Henrik Hulgaard and Steven M. Burns", title = "Efficient Timing Analysis of a Class of {Petri} Nets", booktitle= cav, publisher= springer, postscript = "http://www.cs.washington.edu/research/projects/lis/oetools/www/papers/cav95.ps", url = "http://www.it.dtu.dk/~henrik/papers/cav95.ps.gz", year = 1995 } @ARTICLE{Hulgaard97, author = "Henrik Hulgaard and Steven M. Burns", title = "Bounded Delay Timing Analysis of a Class of {CSP} Programs", pages = "265--294", journal= fmsd, volume = 11, number = 3, month = oct, year = 1997 } @INPROCEEDINGS{Hung90, author = "Andy Hung and Teresa H.-Y. Meng", title = "Asynchronous Self-Timed Circuit Synthesis with Timing Constraints", pages = "1126--1130", booktitle= iscas, volume = 2, month = may, year = 1990 } @INPROCEEDINGS{Hunt98, author = "B. W. Hunt and K. S. Stevens and B. W. Suter and D. S. Gelosh", title = "A single chip low power asynchronous implementation of an {FFT} algorithm for space applications", pages = "216--223", booktitle= async, year = 1998 } @INPROCEEDINGS{Hurdle93, author = "J. F. Hurdle", title = "Self-Timed Neural Model Implementation: An Example Using {CMAC}", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @PHDTHESIS{Hurtado75, author = "Hurtado, Marco", title = "Structure and Performance of Asymptotically Bistable Dynamical Systems", school = "Sever Institute of Technology, Washington Univ., St. Louis, MO", year = 1975 } @INPROCEEDINGS{Hurtado75a, author = "Hurtado, M. and Elliott, D. L.", title = "Ambiguous Behavior of Logic Bistable Systems", pages = "605--611", booktitle="Proceedings of the 13th Annual Allerton Conference on Circuit {\&} System Theory", month = oct, year = 1975 } @INPROCEEDINGS{Hwang97, author = "Wei Hwang and Walter H. Henkels and Rajiv V. Joshi", title = "A Pulse-To-Static Conversion Latch With a Self-Timed Control Circuit", booktitle= iccd, pages = "712--717", month = oct, year = 1997 } @ARTICLE{Hwang2000, author = "Wei Hwang and Rajiv V. Joshi and George D. Gristede", title = "A Scannable Pulse-To-Static Conversion Register Array for Self-Timed Circuits", pages = "125--128", journal= ieeejssc, volume = 35, number = 1, month = jan, year = 2000 } @INPROCEEDINGS{Ishiura89, author = "N. Ishiura and M. Takahashi and S. Yajim", title = "Time-symbolic Simulation for Accurate Timing Verification of Asynchronous Behavior of Logic Circuits", booktitle= dac, pages = "497--502", year = 1989 } @TECHREPORT{Isotalus97, author = "Pasi Isotalus", title = "16x16 Bit Multiplier Comparison Report", html = "http://lenkkari.cs.tut.fi/~async/fir/mult_report.fm.html", institution= "Tampere University of Technology, Finland", year = 1997 } @ARTICLE{Izosimov90, author = "O. A. Izosimov and I. I. Shagurin and V. V. Tsylyov", title = "Physical approach to {CMOS} module self-timing", pages = "1835--1836", journal= el, volume = 26, number = 22, month = oct, year = 1990 } @ARTICLE{Jackson97, author = "D. L. Jackson and R. Kelly and L. E. M. Brackenbury", title = "Differential register bank design for self-timed differential bipolar technology", journal= ieepcds, volume = 144, number = 5, month = oct, year = 1997 } @INPROCEEDINGS{Jacobs88, author = "G. M. Jacobs and R. W. Brodersen", title = "Self-Timed Integrated Circuits for Digital Signal Processing Applications", chapter= 19, editor = "Robert W. Brodersen and Howard S. Moscovitz", booktitle= "VLSI Signal Processing, III", publisher= ieee, year = 1988 } @PHDTHESIS{Jacobs89, author = "Gordon M. Jacobs", title = "Self-Timed Integrated Circuits for Digital Signal-Processing", school = "University of California, Berkeley", number = "UCB-ERL M89-128", month = nov, year = 1989 } @ARTICLE{Jacobs90, author = "Gordon M. Jacobs and Robert W. Brodersen", title = "A Fully Asynchronous Digital Signal Processor Using Self-Timed Circuits", pages = "1526--1537", journal= ieeejssc, volume = 25, number = 6, month = dec, year = 1990 } @INPROCEEDINGS{Jacobson97, author = "Hans Jacobson and Ganesh Gopalakrishnan", title = "Asynchronous Microengines for Efficient High-level Control", booktitle= arvlsi, pages = "201--218", month = sep, year = 1997 } @TECHREPORT{Jacobson97a, author = "Hans Jacobson and Ganesh Gopalakrishnan", title = "Asynchronous Microengines for Efficient High-level Control", institution= utahcs, number = "UUCS-97-007", month = jun, year = 1997 } @ARTICLE{Jacobson99, author = "Hans M. Jacobson and Ganesh Gopalakrishnan", title = "Application-Specific Programmable Control for Hihg-Performance Asynchronous Circuits", pages = "319--331", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @INPROCEEDINGS{James98, author = "K. W. James and K. Y. Yun", title = "Average-case optimized transistor-level technology mapping of extended burst-mode circuits", pages = "70--79", pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-98-TECHMAP.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ASYNC-98-TECHMAP.ps", booktitle= async, year = 1998 } @PHDTHESIS{Janik98, author = "Kenneth J. Janik", title = "A Microarchitecture Study of the Counterflow Pipeline Principle", school = "Oregon State University", url = "http://www.ece.orst.edu/~janikk/thesis/", month = feb, year = 1998 } @ARTICLE{Jesshope93, author = "C. R. Jesshope and I. M. Nedelchev and C. G. Huang", title = "Compilation of Process Algebra Expressions into Delay-Insensitive Circuits", journal= ieepcdt, volume = 140, number = 5, pages = "261--268", month = sep, year = 1993 } @PHDTHESIS{Johnson88, author = "J. M. Johnson", title = "Theory and Application of Self-Timed Integrated Systems using Ternary Logic Elements", school = "Electrical and Computer Engineering, University of California, Santa Barbara", month = dec, year = 1988 } @ARTICLE{Johnson98, author = "D. Johnson and V. Akella", title = "Design and analysis of asynchronous adders", journal= ieepcdt, volume = 145, number = 1, pages = "1--8", year = 1998 } @ARTICLE{Johnson98a, author = "David Johnson and Venkatesh Akella and Brett Stott", title = "Micropipelined Asynchronous Discrete Cosine Transform ({DCT/IDTC}) Processor", pages = "731--740", journal= ieeevlsi, volume = 6, number = 4, month = dec, year = 1998 } @INPROCEEDINGS{Jong94, author = "Jong, Gjalt de and Bill Lin", title = "A Communicating {Petri} Net Model for the Design of Concurrent Asynchronous Modules", pages = "49--55", booktitle= dac, month = jun, year = 1994 } @INPROCEEDINGS{Jonsson85, author = "Jonsson, Bengt", title = "A Model and Proof System for Asynchronous Networks", booktitle= "Proceedings of the 4th ACM Symposium on Principles of Distributed Computing", pages = "49--58", year = 1985 } @TECHREPORT{Jonsson88, author = "Jonsson, Bengt", title = "A Fully Abstract Trace Model for Dataflow Networks", type = "Research Report", number = "SICS R88016", institution= "Swedish Institute of Computer Science", month = nov, year = 1988 } @TECHREPORT{Josephs89, author = "Josephs, Mark B. and Hoare, C. A. R. and Jifeng, He", title = "A Theory of Asynchronous Processes", type = "Technical Report", number = "PRG-TR-6-89", institution="Oxford Univ., Computing Laboratory", year = 1989 } @INPROCEEDINGS{Josephs90, author = "Josephs, Mark B. and Udding, Jan Tijmen", title = "The Design of a Delay-Insensitive Stack", booktitle= dcc, editor = "G. Jones and M. Sheeran", pages = "132--152", publisher= springer, year = 1990 } @INPROCEEDINGS{Josephs90a, author = "Josephs, Mark B. and Udding, Jan Tijmen", title = "An Algebra for Delay-Insensitive Circuits", pages = "343--352", editor = "Robert P. Kurshan and Edmund M. Clarke", booktitle= cav, series = lncs, volume = 531, publisher= springer, year = 1990 } @INCOLLECTION{Josephs90b, author = "Josephs, Mark B. and Udding, Jan Tijmen", title = "Delay-Insensitive Circuits: An Algebraic Approach to their Design", booktitle="{CONCUR '90}, Theories of Concurrency: Unification and Extension", editor = "J. C. M. Baeten and J. W. Klop", series = lncs, volume = 458, pages = "342--366", publisher= springer, month = aug, year = 1990 } @INPROCEEDINGS{Josephs91, author = "Josephs, Mark B. and Mak, Rudolf H. and Verhoeff, Tom", title = "Asynchronous Design of a Router", booktitle= prorisc, editor = "Veen, J. P.", pages = "173--179", publisher= "Stichting voor de Technische Wetenschappen", address= "Utrecht, Netherlands", year = 1991 } @INPROCEEDINGS{Josephs91a, author = "Mark B. Josephs and Jan Tijmen Udding", title = "An Algebra for Delay-Insensitive Circuits", booktitle = cav, pages = "147--176", editor = "E. M. Clarke and R. P. Kurshan", publisher = "American Mathematical Society", dvi = "http://www.cs.rug.nl/~jtu/wucs54.dvi", year = 1991 } @ARTICLE{Josephs92, author = "Josephs, Mark B.", title = "Receptive Process Theory", journal= "Acta Informatica", volume = 29, number = 1, pages = "17--31", year = 1992 } @INPROCEEDINGS{Josephs92a, author = "Josephs, Mark B. and Mak, Rudolf H. and Udding, Jan Tijmen and Verhoeff, Tom and Yantchev, Jelio T.", title = "High-level Design of an Asynchronous Packet-routing Chip", pages = "261--274", booktitle= dcc, editor = "J{\o}rgen Staunstrup and Robin Sharp", series = ifip, volume = "A-5", publisher= esp, year = 1992 } @INPROCEEDINGS{Josephs93a, author = "M. B. Josephs and J. T. Udding", title = "An Overview of {DI} Algebra", editor = "T. N. Mudge and V. Milutinovic and L. Hunter", booktitle= hicss, volume = "I", pages = "329--338", publisher= icsp, dvi = "http://www.cs.rug.nl/~jtu/hawaii2.dvi", month = jan, year = 1993 } @INPROCEEDINGS{Josephs93b, author = "Mark B. Josephs and Jan Tijmen Udding", title = "Implementing a Stack as a Delay-Insensitive Circuit", pages = "123--135", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @TECHREPORT{Josephs93c, author = "Mark B. Josephs and Jan Tijmen Udding and Yelio Yantchev", title = "Handshake Algebra", institution = southbank, series = "Technical Report", number = "SBU-CISM-93-1", month = dec, year = 1993 } @INPROCEEDINGS{Josephs94, author = "M. B. Josephs and P. G. Lucassen and J. T. Udding and T. Verhoeff", title = "Formal Design of an Asynchronous {DSP} Counterflow Pipeline: A Case Study in Handshake Algebra", pages = "206--215", booktitle= async, dvi = "http://www.cs.rug.nl/~jtu/async94.dvi", month = nov, year = 1994 } @ARTICLE{Josephs96, author = "Mark B. Josephs and Jelio T. Yantchev", title = "{CMOS} design of the tree arbiter element", pages = "472--476", journal= ieeevlsi, volume = 4, number = 4, month = dec, year = 1996 } @ARTICLE{Josephs97, author = "Mark B. Josephs and Andrew M. Bailey", title = "The Use of {SI}-Algebra in the Design of Sequencer Circuits", journal= faoc, volume = 9, number = 4, pages = "395--408", publisher=springer, year = 1997 } @INPROCEEDINGS{Josephs98, author = "Mark B. Josephs", title = "Formal Derivation of a Loadable Asynchronous Counter", booktitle= "Mathematics of Program Construction", series = lncs, publisher= springer, year = 1998 } @ARTICLE{Josephs99, author = "Mark B. Josephs and Steven M. Nowick and C. H. (Kees) van Berkel", title = "Modeling and Design of Asynchronous Circuits", pages = "234--242", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @TECHREPORT{Josephs99a, author = "M. B. Josephs and A. V. Yakovlev", title = "Handouts of the {ACiD-WG} Workshop", institution= "University of Newcastle upon Tyne", number = "CS-670", month = apr, year = 1999 } @INPROCEEDINGS{Josephs2000, author = "M. B. Josephs and D. P. Furey", title = "Delay-insensitive interface specification and synthesis", pages = "169--173", booktitle= date, month = mar, year = 2000 } @INPROCEEDINGS{Jou97, author = "Jer Min Jou and Ren Der Chen and Ke Ming Lin", title = "An integrated synthesis system for speed-independent asynchronous circuits", pages = "1600--1603", booktitle= iscas, volume = 3, month = jun, year = 1997 } @ARTICLE{Jou97a, author = "Shyh Jye Jou and I. Yao Chung", title = "Low-power self-timed circuit design technique", journal= el, volume = 33, number = 2, pages = "110--111", year = 1997 } @INPROCEEDINGS{Jou97b, author = "Shyh-Jye Jou and I.-Yao Chung", title = "Low-power global asynchronous locally synchronous design using self-timed circuit technology", pages = "1808--1811", booktitle= iscas, volume = 3, month = jun, year = 1997 } @INPROCEEDINGS{Jump72, author = "J. Robert Jump and P. S. Thiagarajan", title = "On the equivalence of asynchronous control structures", pages = "212--223", booktitle= ssat, publisher= icsp, year = 1972 } @ARTICLE{Jump73, author = "J. Robert Jump and P. S. Thiagarajan", title = "On the equivalence of asynchronous control structures", pages = "67--87", journal= "SIAM Journal on Computing", volume = 2, year = 1973 } @ARTICLE{Jump75, author = "J. Robert Jump and P. S. Thiagarajan", title = "On the interconnection of asynchronous control structures", journal= jacm, volume = 22, pages = "596--612", month = oct, year = 1975 } @INPROCEEDINGS{Jung94, author = "Sung Tae Jung and Chu Shik Jhon", title = "Direct Synthesis of Efficient Speed-independent Circuits from Deterministic Signal Transition Graphs", booktitle= iscas, pages = "307--310", month = jun, year = 1994 } @INPROCEEDINGS{Jung95, author = "Sung Tae Jung and Uun Sei Park and Junk Sik Kim and Chu Shik Jhon", title = "Automatic Synthesis of Gate-level Speed-independent Control Circuits from Signal Transition Graphs", booktitle= iscas, pages = "1411--1414", year = 1995 } @INPROCEEDINGS{Jung99, author = "Sung Tae Jung", title = "Direct Synthesis of Timed Asynchronous Circuits", booktitle=iwls, month = jun, year = 1999 } @INPROCEEDINGS{Jung99a, author = "Sung Tae Jung and Chris J. Myers", title = "Direct Synthesis of Timed Asynchronous Circuits", booktitle = iccad, pages = "332--337", month = nov, year = 1999 } @INPROCEEDINGS{Kagotani94, author = "Hiroto Kagotani and Takashi Nanya", title = "A synthesis method of quasi-delay-insensitive processors based on dependency graph", booktitle= apchdl, pages = "177--184", month = oct, year = 1994 } @PHDTHESIS{Kaldewaij86, author = "Anne Kaldewaij", title = "A Formalism for Concurrent Processes", school = eut, year = 1986 } @INPROCEEDINGS{Kameda98, author = "Y. Kameda and S. Polonsky and M. Maezawa and T. Nanya", title = "Primitive-level pipelining method on delay-insensitive model for {RSFQ} pulse-driven logic", pages = "262--273", booktitle= async, year = 1998 } @INPROCEEDINGS{Kang92, author = "J.-W. Kang and C.-L. Wey and P. D. Fisher", title = "An efficient modelling and synthesis procedure of asynchronous sequential logic circuits", booktitle= midwest, pages = "512--515", year = 1992 } @PHDTHESIS{Kang93, author = "J.-W. Kang", title = "The modeling and synthesis of asynchronous sequential logic circuits", school = "Dept. of Electrical Engineering, Michigan State univ.", year = 1993 } @INPROCEEDINGS{Kang93a, author = "Jun-Woo Kang and Chin-Long Wey and P. David Fisher", title = "A Synthesis Procedure for Large-scale Asynchronous Finite State Machines", booktitle= midwest, pages = "1304--1307", year = 1993 } @ARTICLE{Kang94, author = "J.-W. Kang and P. D. Fisher and C.-L. Wey", title = "Efficient modelling and synthesis procedure of asynchronous sequential logic elements", journal= ieepcdt, pages = "61--64", volume = 141, number = 1, month = jan, year = 1994 } @ARTICLE{Kang95, author = "Jun-Woo Kang and Chin-Long Wey and P. David Fisher", title = "Application of Bipartite Graphs for Achieving Race-Free State Assignments", journal= ieeetc, pages = "1002--1011", volume = 44, number = 8, month = aug, year = 1995 } @ARTICLE{Kantabutra94, author = "Vitit Kantabutra and Andreas G. Andreou", title = "A State Assignment Approach to Asynchronous {CMOS} Circuit Design", journal= ieeetc, volume = 43, number = 4, pages = "460--469", month = apr, year = 1994 } @MASTERSTHESIS{Karlsen98, author = "Per Arne Karlsen", title = "A System for Timing Verification of Asynchronous Circuits", school = "Department of Informatics, University of Oslo", year = 1998 } @INPROCEEDINGS{Karlsen99, author = "Per Arne Karlsen and Per Torstein R{\o}ine", title = "A Timing Verifier and Timing Profiler for Asynchronous Circuits", pages = "13--23", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Karthik91, author = "S. Karthik and I. de Souza and J. T. Rahmeh and J. A. Abraham", title = "Interlock Schemes for Micropipelines: Application to a Self-Timed Rebound Sorter", pages = "393--396", booktitle= iccd, publisher= icsp, year = 1991 } @INPROCEEDINGS{Karthikeyan97, author = "M. R. Karthikeyan and S. K. Nandy", title = "An asynchronous architecture for digital signal processors", booktitle=edtc, pages = 615, year = 1997 } @INPROCEEDINGS{Kearney95, author = "David Kearney and Neil W. Bermann", title = "Performance Evaluation of Asynchronous Logic Pipelines with Data Dependant Processing Delays", pages = "4--13", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Kearney97, author = "David Kearney and Neil W. Bergmann", title = "Bundled Data Asynchronous Multipliers with Data Dependant Computation Times", pages = "186--197", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Kearney99, author = "D. Kearney", title = "Theoretical Limits on the Data Dependent Performance of Asynchronous Circuits", pages = "201--207", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Keller72, author = "Robert M. Keller", title = "On the decomposition of asynchronous modules", pages = "78--89", booktitle= ssat, publisher= icsp, year = 1972 } @ARTICLE{Keller74, author = "Keller, Robert M.", title = "Towards a Theory of Universal Speed-Independent Modules", journal= ieeetc, volume = "C-23", number = 1, pages = "21--33", month = jan, year = 1974 } @MASTERSTHESIS{Kelly95, author = "Robert Kelly", title = "Asynchronous Design Aspects of High-Performance Logic: Architectural Modelling of a Bipolar Asynchronous Microprocessor", school = mcu, year = 1995 } @ARTICLE{Kelly97, author = "R. Kelly and L. E. M. Brackenbury", title = "Design and modelling of a high performance differential bipolar self-timed microprocessor", pages = "371--380", journal= ieepcdt, volume = 144, number = 6, month = nov, year = 1997 } @INPROCEEDINGS{Kessels95, author = "Joep Kessels", title = "{VLSI} Programming of a Low-Power Asynchronous {R}eed-{S}olomon Decoder for the {DCC} Player", pages = "44--52", booktitle= adm, publisher= icsp, month = may, year = 1995 } @ARTICLE{Kessels95a, author = "Joep L. W. Kessels", title = "Calculational Derivation of a Counter with Bounded Response Time and Bounded Power Dissipation", pages = "143--149", journal= dc, volume = 8, number = 3, year = 1995 } @INPROCEEDINGS{Kessels97, author = "Joep Kessels and Paul Marston", title = "Designing Asynchronous Standby Circuits for a Low-Power Pager", pages = "268--278", booktitle= async, publisher= icsp, month = apr, year = 1997 } @ARTICLE{Kessels99, author = "Joep Kessels and Paul Marston", title = "Designing Asynchronous Standby Circuits for a Low-Power Pager", pages = "257--267", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @INPROCEEDINGS{Keutzer91, author = "Keutzer, Kurt and Lavagno, Luciano and Sangiovanni-Vincentelli, Alberto", title = "Synthesis for Testability Techniques for Asynchronous Circuits", key = "testing", booktitle= iccad, publisher= icsp, pages = "326--329", month = nov, year = 1991 } @ARTICLE{Keutzer95, author = "Keutzer, Kurt and Lavagno, Luciano and Sangiovanni-Vincentelli, Alberto", title = "Synthesis for Testability Techniques for Asynchronous Circuits", journal= ieeetcad, pages = "1569--1577", volume = 14, number = 12, month = dec, year = 1995 } @INPROCEEDINGS{Khoche93, author = "Ajay Khoche and Erik Brunvand", title = "Testing Self-Timed Circuits using Scan Paths", booktitle= "5th NASA Symposium on VLSI Design", month = nov, year = 1993 } @INPROCEEDINGS{Khoche94, author = "Ajay Khoche and Erik Brunvand", title = "Testing Micropipelines", key = "testing", pages = "239--246", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Khoche95, author = "Ajay Khoche and Erik Brunvand", title = "Testing Self-Timed Circuits using Partial Scan", pages = "160--169", key = "testing", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Khoche95a, author = "Ajay Khoche and Erik Brunvand", title = "A partial Scan Methodology for Testing Self-Timed Circuits", key = "testing", booktitle= vts, pages = "283--289", year = 1995 } @PHDTHESIS{Khoche96, author = "Ajay Khoche", title = "Testing Macro-module based Self-timed Circuits", key = "testing", school = utahcs, year = 1996 } @INPROCEEDINGS{Khoche97, author = "Ajay Khoche and Erik Brunvand", title = "Critical Hazard Free Test Generation for Asynchronous Circuits", key = "testing", pages = "203--208", booktitle= vts, year = 1997 } @INPROCEEDINGS{Kim97, author = "Seokjin Kim and Ramalingam Sridhar", title = "Hierarchical Synchronization Scheme Using Self-Timed Mesochonous Interconnections", pages = "1824--1827", booktitle= iscas, month = jun, year = 1997 } @INPROCEEDINGS{Kim98, author = "Uisok Kim and Dong-Ik Lee", title = "Practical Synthesis of Speed-Independent Circuits Using Unfoldings", booktitle=aspdac, pages = "191--196", month = feb, year = 1998 } @INPROCEEDINGS{Kim99, author = "Hoshik Kim", title = "Relative timing based verification of timed circuits and systems", booktitle=iwls, month = jun, year = 1999 } @ARTICLE{Kimura68, author = "Izumi Kimura", title = "Extensions of asynchronous circuits and the delay problem {I}: Good extensions and the delay problem of the first kind", pages = "251--287", journal= jcss, publisher= ap, volume = 2, number = 3, month = oct, year = 1968 } @ARTICLE{Kimura71, author = "Izumi Kimura", title = "Extensions of asynchronous circuits and the delay problem {II}: Spike-free extensions and the delay problem of the second kind", pages = "129--162", journal= jcss, publisher= ap, volume = 5, number = 2, month = apr, year = 1971 } @ARTICLE{Kinniment76, author = "D. J. Kinniment and J. V. Woods", title = "Synchronization and Arbitration Circuits in Digital Systems", journal= ieeproc, pages = "961--966", volume = 123, number = 10, month = oct, year = 1976 } @INPROCEEDINGS{Kinniment95, author = "D. J. Kinniment and J. D. Garside and B. Gao", title = "A comparison of power consumption in some {CMOS} adder circuits", booktitle= patmos, location = "Oldenburg, Germany", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/patmos95.ps.Z", month = oct, year = 1995 } @ARTICLE{Kinniment96, author = "D. J. Kinniment", title = "An Evaluation of Asynchronous Addition", pages = "137--140", journal= ieeevlsi, volume = 4, number = 1, month = mar, year = 1996 } @INPROCEEDINGS{Kinniment98, author = "D. J. Kinniment and B. Gao and A. V. Yakovlev and F. Xia", title = "Toward asynchronous {A-D} conversion", pages = "206--215", booktitle= async, year = 1998 } @INPROCEEDINGS{Kishinevsky92, author = "Kishinevsky, M. and Kondratyev, A. and Taubin, A. and Varshavsky, V.", title = "Analysis and Identification of Self-timed Circuits", pages = "275--287", booktitle= dcc, editor = "J{\o}rgen Staunstrup and Robin Sharp", series = ifip, volume = "A-5", publisher= esp, year = 1992 } @INPROCEEDINGS{Kishinevsky92a, author = "Kishinevsky, M. and Kondratyev, A. and Taubin, A. and Varshavsky, V.", title = "On Self-Timed Behavior Verification", booktitle= "Proceedings of ACM TAU 92", month = mar, year = 1992 } @BOOK{Kishinevsky94, author = "Michael Kishinevsky and Alex Kondratyev and Alexander Taubin and Victor Varshavsky", title = "Concurrent Hardware: The Theory and Practice of Self-Timed Design", series = "Series in Parallel Computing", publisher= wiley, year = 1994 } @ARTICLE{Kishinevsky94a, author = "Kishinevsky, M. A. and Kondratyev, A. Y. and Taubin, A. R.", title = "Specification and Analysis of Self-Timed Circuits", journal= vlsisp, pages = "117--135", volume = 7, number = "1/2", month = feb, year = 1994 } @INPROCEEDINGS{Kishinevsky94b, author = "Michael Kishinevsky and J{\o}rgen Staunstrup", title = "Characterizing Speed-Independence of High-Level Designs", pages = "44--53", booktitle= async, postscript = "ftp://ftp.id.dtu.dk/pub/Async/utah94.ps.Z", month = nov, year = 1994 } @INPROCEEDINGS{Kishinevsky94c, author = "Michael Kishinevsky and J{\o}rgen Staunstrup", title = "Mechanized verification of Speed-Independence", booktitle= "Proc. of the 2nd Workshop on Theorem Provers in Circuit Design", address = "Bad Herrenalb, Germany", pages = "229--248", postscript = "ftp://ftp.id.dtu.dk/pub/Async/tpcd94.ps.Z", month = sep, year = 1994 } @ARTICLE{Kishinevsky94d, author = "Kishinevsky, M. and Kondratyev, A. and Taubin, A. and Varshavsky, V.", title = "Analysis and Identification of Speed-Independent Circuits on an Event Model", journal= fmsd, pages = "33--75", volume = 4, number = 1, postscript = "ftp://ftp.id.dtu.dk/pub/Async/id-fin.ps.Z", year = 1994 } @INPROCEEDINGS{Kishinevsky97, author = "Michael Kishinevsky and Luciano Lavagno and Alexander Saldanha and Alexander Taubin", title = "Partial scan delay-fault testing of asynchronous circuits", booktitle= iccad, month = nov, year = 1997 } @INPROCEEDINGS{Kishinevsky97a, author = "Michael Kishinevsky and Jordi Cortadella and Alex Kondratyev and Luciano Lavagno and Alexander Taubin and Alex Yakovlev", title = "Coupling asynchrony and interrupts: Place Chart Nets and their Synthesis", pages = "328--347", editor = "Pierre Az{\'e}ma and Gianfranco Balbo", booktitle= "International Conference on Applications and Theory of Petri Nets", series = lncs, volume = 1248, publisher= springer, address= "Toulouse, France", month = jun, year = 1997 } @ARTICLE{Kishinevsky98, author = "Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Alex Saldanha and Alexander Taubin", title = "Partial-Scan Delay Fault Testing of Asynchronous Circuits", pages = "1184--1199", journal= ieeetcad, volume = 17, number = 11, month = nov, year = 1998 } @INPROCEEDINGS{Kishinevsky98a, author = "M. Kishinevsky and J. Cortadella and A. Kondratyev", title = "Asynchronous Interface Specification, Analysis and Synthesis", booktitle= dac, pages = "2-7", month = jun, year = 1998 } @TECHREPORT{Kishinevsky98b, author = "M. Kishinevsky, J. Cortadella, A. Kondratyev and L. Lavagno", title = "Asynchronous Interface Specification, Analysis and Synthesis", institution= upc, postscript = "http://www.lsi.upc.es/dept/techreps/ps/R98-14.ps.gz", month = mar, year = 1998 } @ARTICLE{Kishore97, author = "B. R. Kishore and T. Nanya", title = "On concurrent error detection of asynchronous circuits using mixed-signal approach", journal= ieicetis, volume = "E80-D", number = 3, pages = "351--362", month = mar, year = 1997 } @INPROCEEDINGS{Kishore97a, author = "B. R. Kishore and Y. Kameda and T. Nanya", title = "A mixed-signal approach for on-line testing of asynchronous circuits---a case study", booktitle= "Proceedings of 3rd IEEE International On-line Testing Workshop", pages = "91--95", month = jul, year = 1997 } @ARTICLE{Kleeman86, author = "Kleeman, Lindsay and Cantoni, Antonio", title = "Can redundancy and masking improve the performance of synchronizers", journal= ieeetc, pages = "643--646", volume = 35, month = jul, year = 1986 } @PHDTHESIS{Kleeman86a, author = "Lindsay Kleeman", title = "Service and Metastability Performance of Arbiters", school = "Dept. of Electrical and Computer Eng., Univ. of Newcastle, Australia", month = aug, year = 1986 } @ARTICLE{Kleeman87, author = "Kleeman, Lindsay and Cantoni, Antonio", title = "On the Unavoidability of Metastable Behavior in Digital Systems", journal= ieeetc, volume = "C-36", number = 1, pages = "109--112", month = jan, year = 1987 } @ARTICLE{Kleeman87a, author = "Kleeman, Lindsay and Cantoni, Antonio", title = "Metastable Behavior in Digital Systems", journal= ieeedt, volume = 4, pages = "4--19", month = dec, year = 1987 } @INPROCEEDINGS{Klotchkov98, author = "Ilya V. Krotchkov and Alexander B. Smirnov and Nikolai A. Starodoubtsev", title = "Verification driven synthesis of asynchronous circuits from {STG} specification", pages = "377--386", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle=patmos, month = oct, year = 1998 } @INPROCEEDINGS{Ko94, author = "Uming Ko and P. T. Balsara and Wai Lee", title = "A Self-Timed Method to Minimize Spurious Transitions in Low Power {CMOS} Circuits", editor = "John H. Wuorinen", booktitle= "1994 IEEE Symposium on Low-Power Electronics", pages = "62--63", month = oct, year = 1994 } @ARTICLE{Kobayashi86, author = "Toshifumi Kobayashi and Kazutami Arimoto and Yuto Ikeda and Masahiro Hatanaka and Koichiro Mashiko and Michihiro Yamada and Takao Nakano", title = "A high-speed {46Kx4 CMOS DRAM} using on-chip self-timing techniques", pages = "655--661", journal= ieeejssc, volume = 21, number = 5, month = oct, year = 1986 } @BOOK{Kohavi78, author = "Kohavi, Zvi", title = "Switching and Finite Automata Theory", publisher= "McGraw-Hill", year = 1978 } @INPROCEEDINGS{Kol96, author = "R. Kol and R. Ginosar and G. Samuel", title = "Statechart Methodology for the Design, Validation, and Synthesis of Large Scale Asynchronous Systems", booktitle= async, publisher= icsp, postscript = "http://ftp.technion.ac.il/pub/supported/ee/VLSI/async-statecharts.ps.gz", month = mar, year = 1996 } @INPROCEEDINGS{Kol97, author = "Rakefet Kol and Ran Ginosar", title = "A Doubly-Latched Asynchronous Pipeline", booktitle= iccd, pages = "706--711", month = oct, year = 1996 } @ARTICLE{Kol97a, author = "R. Kol and R. Ginosar and G. Samuel", title = "Statechart methodology for the design, validation and synthesis of large scale asynchronous systems", journal= ieicetis, volume = "E80-D", number = 3, pages = "308--314", month = mar, year = 1997 } @INPROCEEDINGS{Kol98, author = "Rakefet Kol and Ran Ginosar", title = "Avid Execution in the Asynchronous Processor {Kin}", booktitle="3rd Euromicro Conference on Massively Parallel Computing Systems", month = apr, year = 1998 } @INPROCEEDINGS{Kol98a, author = "Rakefet Kol and Ran Ginosar", title = "Kin: A High Performance Asynchronous Processor Architecture", booktitle="12th ACM International Conference on Supercomputing", month = jul, year = 1998 } @INPROCEEDINGS{Kolks96, author = "Tilman Kolks and Steven Vercauteren and Bill Lin", title = "Control Resynthesis for Control-Dominated Asynchronous Designs", booktitle= async, month = mar, year = 1996 } @ARTICLE{Komori88, author = "Komori, Shinji and Takata, Hidehiro and Tamura, Toshiyuki and Asai, Fumiyasu and Ohno, Takio and Tomisawa, Osamu and Yamasaki, Tetsuo and Shima, Kenji and Asada, Katsuhiko and Terada, Hiroaki", title = "An Elastic Pipeline Mechanism by Self-Timed Circuits", journal= ieeejssc, volume = 23, number = 1, pages = "111--117", month = feb, year = 1988 } @ARTICLE{Komori89, author = "Komori, Shinji and Takata, Hidehiro and Tamura, Toshiyuki and Asai, Fumiyasu and Ohno, Takio and Tomisawa, Osamu and Yamasaki, Tetsuo and Shima, Kenji and Nishikawa, Hiroaki and Terada, Hiroaki", title = "A 40-{MFLOPS} 32-bit Floating-Point Processor with Elastic Pipeline Scheme", journal= ieeejssc, volume = 24, number = 5, pages = "1341--1347", month = oct, year = 1989 } @INPROCEEDINGS{Kondratyev88, author = "A. Kondratyev and L. Rosenblum and A. Yakovlev", title = "Signal Graphs: A Model for Designing Concurrent Logic", booktitle= icpp, volume = 1, editor = "Fay\'e A. Briggs", pages = "51--54", year = 1988 } @INPROCEEDINGS{Kondratyev94, author = "Alex Kondratyev and Michael Kishinevsky and Bill Lin and Peter Vanbekbergen and Alex Yakovlev", title ="Basic Gate Implementation of Speed-Independent Circuits", pages = "56--62", booktitle= dac, postscript = "ftp://ftp.id.dtu.dk/pub/Async/230.ps.Z", month = jun, year = 1994 } @INPROCEEDINGS{Kondratyev94a, author = "Alex Kondratyev and Alexander Taubin", title = "Verification of Speed-independent Circuits by STG Unfoldings", pages = "64--75", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Kondratyev95, author = "A. Kondratyev and J. Cortadella and M. Kishinevsky and E. Pastor and O. Roig and A. Yakovlev", title = "Checking {S}ignal {T}ransition {G}raph implementability by symbolic {BDD} traversal", booktitle = edtc, address= "Paris, France", pages = "325--332", month = mar, year = 1995 } @INPROCEEDINGS{Kondratyev97, author = "Alex Kondratyev and Michael Kishinevsky and Jordi Cortadella and Luciano Lavagno and Alex Yakovlev", title = "Technology Mapping for speed-independent Circuits: decomposition and resynthesis", pages = "240--253", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Kondratyev98, author = "A. Kondratyev and J. Cortadella and M. Kishinevsky and L. Lavagno and A. Taubin and A. Yakovlev", title = "Identifying State Coding Conflicts in Asynchronous System Specifications Using {Petri} Net Unfoldings", booktitle=acsd, month = mar, year = 1998 } @ARTICLE{Kondratyev98a, author = "Alex Kondratyev and Michael Kishinevsky and Alexander Taubin and Sergei Ten", title = "Analysis of {Petri} Nets by Ordering Relations in Reduced Unfoldings", pages = "5--38", journal= fmsd, volume = 12, number = 1, month = jan, year = 1998 } @ARTICLE{Kondratyev98b, author = "A. Kondratyev and M. Kishinevsky and A. Taubin and J. Cortadella and L. Lavagno", title = "The use of {Petri} nets for the design and verification of asynchronous circuits and systems", journal= "Journal of Circuits Systems and Computers", volume = 8, number = 1, pages = "67--118", year = 1998 } @ARTICLE{Kondratyev98c, author = "Alex Kondratyev and Michael Kishinevsky and Alex Yakovlev", title = "Hazard-Free Implementation of Speed-Independent Circuits", journal= ieeetcad, pages = "749--771", volume = 17, number = 9, month = sep, year = 1998 } @ARTICLE{Kondratyev99, author = "Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexander Yakovlev", title = "Logic Decomposition of Speed-Independent Circuits", pages = "347--362", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @INPROCEEDINGS{Kondratyev99a, author = "Alex Kondratyev and Jordi Cortadella and Michael Kishinevsky and Luciano Lavagno and Alexander Yakovlev", title = "Automatic synthesis and optimization of partially specified asynchronous systems", booktitle=dac, pages = "110--115", year = 1999 } @ARTICLE{Kong99, author = "B.-S. Kong and Y.-H. Jun", title = "Set of self-timed latches for high-speed {VLSI}", pages = "341--344", journal= ieepcds, volume = 146, number = 6, month = dec, year = 1999 } @PROCEEDINGS{Korst92, editor = "Korst, M. van der and Peeters, A. and Schols, H.", title = "Design and Implementation of Asynchronous Circuits", organization="Koninklijke Nederlandse Akademie van Wetenschappen", publisher= "North-Holland", note = "Proceedings of workshop Amsterdam, 10--14 November 1991", month = jun, year = 1992 } @TECHREPORT{Korst92a, author = "Korst, Michiel van der", title = "{VOICE}, a Silicon Compiler for Asynchronous Circuits", institution="IVO, Eindhoven University of Technology", month = aug, year = 1992 } @ARTICLE{Korver96, author = "W. H. F. J. K{\"o}rver and I. M. Nedelchev", title = "Asynchronous implementation of the {SCPP-A} counterflow pipeline processor", pages = "287--294", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @INPROCEEDINGS{Kudva94, author = "Prabhakar Kudva and Venkatesh Akella", title = "Testing two-phase transition signalling based self-timed circuits in a synthesis environment", booktitle= "Proceedings of the 7th International Symposium on High-Level Synthesis", pages = "104--111", publisher= icsp, month = may, year = 1994 } @INPROCEEDINGS{Kudva94a, author = "Prabhakar Kudva and Venkatesh Akella", title = "A Technique for Estimating Power in Self-Timed Asynchronous Circuits", pages = "166--175", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Kudva94b, author = "P. Kudva and G. Gopalakrishnan and E. Brunvand", title = "Performance Analysis and Optimization for Asynchronous Circuits", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @INPROCEEDINGS{Kudva95, author = "P. Kudva and G. Gopalakrishnan and V. Akella", title = "High level synthesis of asynchronous circuit targeting state machine controllers", booktitle= apchdl, pages = "605--610", year = 1995 } @INPROCEEDINGS{Kudva96, author = "Prabhakar Kudva and Ganesh Gopalakrishnan and Hans Jacobson", title = "A Technique for Synthesizing Distributed Burst-Mode Circuits", booktitle= dac, year = 1996 } @INPROCEEDINGS{Kudva96a, author = "Prabhakar Kudva and Ganesh Gopalakrishnan and Hans Jacobson and Steven M. Nowick", title = "Synthesis of Hazard-Free Customized {CMOS} Complex-Gate Networks Under Multiple-Input Changes", booktitle= dac, year = 1996 } @ARTICLE{Kuhl78, author = "J. G. Kuhl and S. M. Reddy", title = "A multicode single transition-time state assignment for asynchronous sequential machines", journal= ieeetc, volume = 27, pages = "927--934", month = oct, year = 1978 } @INPROCEEDINGS{Kung82, author = "S. Y. Kung and R. J. Gal-Ezer", title = "Synchronous versus asynchronous computation in very large scale integrated ({VLSI}) array processors", editor = "Joel Trimble", booktitle= "Real Time Signal Processing V", series = "Proceedings of SPIE", volume = 341, pages = "53--65", month = may, year = 1982 } @INPROCEEDINGS{Kurosawa95, author = "I. Kurosawa and H. Nakagawa and M. Aoyagi and M. Maezawa and Y. Kameda and T. Nanya", title = "A basic circuit for asynchronous superconductive logic using {RSFQ} gates", booktitle= "Extended Abstracts of ISEC 95", pages = "204--206", month = sep, year = 1995 } @ARTICLE{Kurosawa96, author = "I. Kurosawa and H. Nakagawa and M. Aoyagi and M. Maezawa and Y. Kameda and T. Nanya", title = "A basic circuit for asynchronous superconductive logic using {RSFQ} gates", journal= "Superconductor-Science-Technology", volume = 9, number = "4A", pages = "A46--49", month = apr, year = 1996 } @INPROCEEDINGS{Kurshan95, author = "R. P. Kurshan and M. Merritt and A. Orda and S. R. Sachs", title = "Modelling Asynchrony with a Synchronous Model", booktitle= cav, year = 1995 } @INPROCEEDINGS{Kuwako94, author = "Masashi Kuwako and Takashi Nanya", title = "Timing-Reliability Evaluation of Asynchronous Circuits Based on Different Delay Models", pages = "22--31", booktitle= async, month = nov, year = 1994 } @ARTICLE{Kwon94, author = "Yong-Jin Kwon and S. Yajima", title = "Minimum single transition-time assignment for asynchronous sequential circuits using {BDD}", journal= "Transactions of the Information Processing Society of Japan", volume = 35, number = 2, pages = "352--357", month = feb, year = 1994 } @ARTICLE{Lacroix82, author = "G. Lacroix and P. Marchegay and G. Piel", title = "Comments on `The anomalous behavior of flip-flops in synchronizer circuits'", pages = "77--78", journal= ieeetc, volume = 31, number = 1, note = "See: Fleischhammer 1979", month = jan, year = 1982 } @INPROCEEDINGS{Ladd91, author = "M. Ladd and W. P. Birmingham", title = "Synthesis of Multiple-Input Change Asynchronous Finite State Machines", pages = "309--314", booktitle= dac, year = 1991 } @INPROCEEDINGS{Laiho97, author = "M. Laiho and O. Vianio", title = "A full-custom self-timed {DSP} processor implementation", booktitle= esscirc, month = sep, note = "Poster", year = 1997 } @ARTICLE{Lam90, author = "P. N. Lam and H. F. Li", title = "Hierarchical Design of Delay-Insensitive Systems", pages = "41--56", journal= ieepcdt, volume = "E-137", number = 1, month = jan, year = 1990 } @ARTICLE{Lam94, author = "P. N. Lam and Hon F. Li and S. C. Leung", title = "Optimization of State Encoding in Distributed Circuits", journal= ieeetcad, volume = 13, number = 5, pages = "581--588", month = may, year = 1994 } @INPROCEEDINGS{Lampinen98, author = "H. Lampinen and O. Vainio", title = "Circuit design for current-sensing completion detection", booktitle= iscas, volume = 2, pages = "185--188", month = jun, year = 1998 } @ARTICLE{Landan76, author = "T. Landan", title = "Asynchronous timing in logic systems", journal= "Digital Processes", pages = "157--162", year = 1976 } @ARTICLE{Lau87, author = "C. H. Lau", title = "Self: a self-timed system design technique", journal= el, pages = "269--270", volume = 23, number = 6, month = mar, year = 1987 } @INPROCEEDINGS{Lau88, author = "C. H. Lau and D. Renshaw and J. Mavor", title = "Data-flow approach to self-timed logic in {VLSI}", booktitle= iscas, pages = "479--482", month = jun, year = 1988 } @INPROCEEDINGS{Lau89, author = "C. H. Lau and D. Renshaw and J. Mavor", title = "A self-timed wavefront array multiplier", booktitle= iscas, pages = "138--141", year = 1989 } @INPROCEEDINGS{Lavagno91, author = "Lavagno, Luciano and Keutzer, Kurt and Sangiovanni-Vincentelli, Alberto", title = "Synthesis of Verifiably Hazard-Free Asynchronous Control Circuits", pages = "87--102", booktitle= arvlsi, publisher= mit, year = 1991 } @INPROCEEDINGS{Lavagno91a, author = "Lavagno, Luciano and Keutzer, Kurt and Sangiovanni-Vincentelli, Alberto", title = "Algorithms for Synthesis of Hazard-free Asynchronous Circuits", booktitle= dac, publisher= icsp, pages = "302--308", year = 1991 } @INPROCEEDINGS{Lavagno92, author = "L. Lavagno and C. Moon and R. Brayton and A. Sangiovanni-Vincentelli", title = "Solving the state assignment problem for signal transition graphs", pages = "568--572", booktitle= dac, publisher= icsp, month = jun, year = 1992 } @INPROCEEDINGS{Lavagno92a, author = "L. Lavagno and A. Sangiovanni-Vincentelli", title = "Linear Programming for Optimum Hazard Elimination in Asynchronous Circuits", pages = "275--278", booktitle= iccd, publisher= icsp, month = oct, year = 1992 } @PHDTHESIS{Lavagno92b, author = "Luciano Lavagno", title = "Synthesis and Testing of Bounded Wire Delay Asynchronous Circuits from Signal Transition Graphs", key = "testing", school = "U.C. Berkeley", postscript = "http://polimage.polito.it/~lavagno/publications/tr/UCB-ERL-92-140.ps.gz", note = "Technical report UCB/ERL M92/140", month = nov, year = 1992 } @INPROCEEDINGS{Lavagno93a, author = "L. Lavagno and A. Sangiovanni-Vincentelli", title = "Automated Synthesis of Asynchronous Interface Circuits", pages = "107--121", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @BOOK{Lavagno93b, author = "Luciano Lavagno and Alberto Sangiovanni-Vincentelli", title = "Algorithms for Synthesis and Testing of Asynchronous Circuits", key = "testing", publisher= kap, year = 1993 } @TECHREPORT{Lavagno93c, author = "Luciano Lavagno and Michael Kishinevsky and Antonio Lioy", title = "Testing redundant asynchronous circuits", institution= "Dept. of Comp. Science, Technical University of Denmark", number = "ID-TR:1993-124", key = "testing", year = 1993 } @ARTICLE{Lavagno94, author = "L. Lavagno and N. Shenoy and A. Sangiovanni-Vincentelli", title = "Linear Programming for Hazard Elimination in Asynchronous Circuits", pages = "137--160", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @INPROCEEDINGS{Lavagno94a, author = "Luciano Lavagno and Michael Kishinevsky and Antonio Lioy", title = "Testing Redundant Asynchronous Circuits by Variable Phase Splitting", key = "testing", booktitle= eurodac, pages = "328--333", publisher= icsp, postscript = "ftp://ftp.id.dtu.dk/pub/Async/eurodac94.ps.Z", month = sep, year = 1994 } @ARTICLE{Lavagno95, author = "Luciano Lavagno and Cho W. Moon and Robert K. Brayton and Alberto Sangiovanni-Vincentelli", title = "An Efficient Heuristic Procedure for Solving the State Assignment Problem for Event-Based Specifications", journal= ieeetcad, volume = 14, number = 1, pages = "45--60", month = jan, year = 1995 } @ARTICLE{Lavagno95a, author = "Luciano Lavagno and Kurt Keutzer and Alberto Sangiovanni-Vincentelli", title = "Synthesis of Hazard-Free Asynchronous Circuits with Bounded Wire Delays", journal= ieeetcad, volume = 14, number = 1, pages = "61--86", month = jan, year = 1995 } @PHDTHESIS{Leary95, author = "John O'Leary", title = "A model and proof technique for verifying hardware compilers for communicating processes", school = "Cornell University", year = 1995 } @ARTICLE{Leary97, author = "John O'Leary and Geoffrey Brown", title = "Synchronous Emulation of Asynchronous Circuits", journal= ieeetcad, volume = 16, number = 2, pages = "205--209", month = feb, year = 1997 } @ARTICLE{Leblebici96, author = "Y. Leblebici and H. {\"{O}}zdemir and A. Kepkep and U. \c{C}ilingiro\u{g}lu", title = "A compact high-speed (31,5) parallel counter circuit based on capacitive threshold-logic gates", pages = "1177--1183", journal= ieeejssc, volume = 31, number = 8, month = aug, year = 1996 } @ARTICLE{Lee95, author = "Trevor W. S. Lee and Mark R. Greenstreet and Carl-Johan Seger", title = "Automatic Verification of Asynchronous Circuits", pages = "24--31", journal= ieeedt, volume = 12, number = 1, month = "Spring", year = 1995 } @PHDTHESIS{Lee95a, author = "Tak Kwan Lee", title = "A General Approach to Performance Analysis and Optimization of Asynchronous Circuits", school = caltech, postscript = "ftp://ftp.cs.caltech.edu/tr/cs-tr-95-07.ps.Z", note = "Technical report CS-TR-95-07", year = 1995 } @ARTICLE{Lee96, author = "KiJong Lee and Kiyoung Choi", title = "Self-Timed Divider Based on {RSD} Number System", pages = "292--295", journal= ieeevlsi, volume = 4, number = 2, month = jun, year = 1996 } @INPROCEEDINGS{Lemberski95, author = "I. Lemberski", title = "Internal state assignment for two-level hazard-free asynchronous implementation", editor = "P. Garda", booktitle= esscirc, pages = "414--417", month = sep, year = 1995 } @PHDTHESIS{Leung93, author = "S. C. Leung", title = "Synthesis of Delay-Insensitive Circuits from Graph-Theoretic Specifications", school = "Concordia University, Montreal", year = 1993 } @ARTICLE{Leung94, author = "S. C. Leung and Hon F. Li", title = "A Syntax-Directed Translation for the Synthesis of Delay-Insensitive Circuits", journal= ieeevlsi, pages = "196--210", volume = 2, number = 2, month = jun, year = 1994 } @ARTICLE{Leung95, author = "S. C. Leung and H. F. Li", title = "On the Realizability and Synthesis of Delay-Insensitive Behaviors", journal= ieeetcad, pages = "833--848", volume = 14, number = 7, month = jul, year = 1995 } @ARTICLE{Lev95, author = "Lavi A. Lev and others", title = "A 64-b Microprocessor with Multimedia Support", pages = "1227--1238", journal= ieeejssc, volume = 30, number = 11, month = nov, year = 1995 } @INPROCEEDINGS{Lewis99, author = "M. Lewis and J. D. Garside and L. Brackenbury", title = "Reconfigurable Latch Controllers for Low Power Asynchronous Circuits", pages = "27--35", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Li84, author = "Tao Li and Lee A. Hollaar", title = "On the testability of the direct implementation of asynchronous circuits", editor = "Paul Penfield", booktitle=arvlsi, month = jan, year = 1984 } @INPROCEEDINGS{Li91, author = "H. F. Li and S. C. Leung and P. N. Lam", title = "Synthesis of Delay-Insensitive Circuits by Refinement into Atomic Threads", pages = "180--186", booktitle= iccd, publisher= icsp, month = oct, year = 1991 } @ARTICLE{Li94, author = "H. F. Li and S. C. Leung and P. N. Lam", title = "Optimised synthesis of delay-insensitive circuits using time-sharing", journal= ieepcdt, volume = 141, number = 2, pages = "111--118", year = 1994 } @INPROCEEDINGS{Li97, author = "S. H. Li", title = "Methodologies for efficient asynchronous circuits design", booktitle= "Proceedings of The Twenty-Ninth Southeastern Symposium on System Theory", pages = "154--158", month = mar, year = 1997 } @INPROCEEDINGS{Liao98, author = "Xiaofeng Liao and Jen-Shiun Chiang", title = "A Novel Asynchronous Control Unit and the Application to a Pipelined Multiplier", booktitle= iscas, year = 1998 } @INPROCEEDINGS{Liao98a, author = "Xiaofeng Liao and Jen-Shiun Chiang", title = "The Design and Implementation of an Asynchronous Radix-2 Non-Restoring 32-B/32-B Ring Divider", booktitle= iscas, year = 1998 } @INPROCEEDINGS{Liebchen92, author = "Armin Liebchen and Ganesh Gopalakrishnan", title = "Dynamic Reordering of High Latency Transactions Using a Modified Pipeline", pages = "336--340", booktitle= iccd, publisher= icsp, month = oct, year = 1992 } @ARTICLE{Liebelt99, author = "Michael J. Liebelt and Neil Burgess", title = "Detecting Exitory Stuck-At Faults in Semimodular Asynchronous Circuits", pages = "442--448", journal= ieeetc, volume = 48, number = 4, month = apr, year = 1999 } @ARTICLE{Lim86, author = "W. Lim", title = "Design methodology for stoppable clock systems", journal= ieepcdt, pages = "65--69", volume = 133, number = 1, month = jan, year = 1986 } @INPROCEEDINGS{Lin91, author = "K.-J. Lin and C.-S. Lin", title = "Automatic Synthesis of Asynchronous Circuits", pages = "296--301", booktitle= dac, publisher= icsp, year = 1991 } @INPROCEEDINGS{Lin92, author = "K.-J. Lin and C.-S. Lin", title = "A Realization Algorithm of Asynchronous Circuits from {STG}", pages = "322--326", booktitle= edac, publisher= icsp, year = 1992 } @INPROCEEDINGS{Lin92a, author = "K.-J. Lin and C.-S. Lin", title = "On the Verification of State-Coding in {STG}s", pages = "118--122", booktitle= iccad, publisher= icsp, month = nov, year = 1992 } @INPROCEEDINGS{Lin94, author = "Kuan-Jen Lin and Jih-Wen Kuo and Chen-Shang Lin", title = "Direct synthesis of hazard-free asynchronous circuits from {STG}s based on lock relation and {MG}-decomposition approach", booktitle= edtc, pages = "178--183", publisher= icsp, year = 1994 } @INPROCEEDINGS{Lin94a, author = "Bill Lin and Chantal Ykman-Couvreur and Peter Vanbekbergen", title = "A General State Graph Transformation Framework for Asynchronous Synthesis", booktitle= eurodac, publisher= icsp, pages = "448--453", month = sep, year = 1994 } @INPROCEEDINGS{Lin94b, author = "Bill Lin and Srinivas Devadas", title = "Synthesis of Hazard-Free Multi-level Logic under Multiple-Input Changes from Binary Decision Diagrams", booktitle= iccad, pages = "542--549", month = nov, year = 1994 } @INPROCEEDINGS{Lin95, author = "Bill Lin and Gjalt de Jong and Tilman Kolks", title = "Hierarchical Optimization of Asynchronous Circuits", booktitle= dac, month = jun, year = 1995 } @ARTICLE{Lin95a, author = "Bill Lin and Srinivas Devadas", title = "Synthesis of Hazard-Free Multilevel Logic Under Multi-Input Changes from Binary Decision Diagrams", pages = "974--985", journal= ieeetcad, volume = 14, number = 8, month = aug, year = 1995 } @ARTICLE{Lin96, author = "K.-J. Lin and C.-S. Lin", title = "Removing {CSC} violations in asynchronous circuits by delay padding", pages = "413--420", journal= ieepcdt, volume = 143, number = 6, month = nov, year = 1996 } @ARTICLE{Lin97, author = "Kuan-Jen Lin and Chi-Wen Kuo and Chen-Shang Lin", title = "Synthesis of Hazard-Free Asynchronous Circuits Based on Characteristic Graph", pages = "1246--1263", journal= ieeetc, volume = 46, number = 11, month = nov, year = 1997 } @INPROCEEDINGS{Lin97a, author = "Yu Sheng Lin and Shan Chieh Yang and Su Jen Fang and C. B. Shung", title = "{VLSI} design of a priority arbitrator for shared buffer {ATM} switches", booktitle=iscas, volume = 4, pages = "2785--2788", month = jun, year = 1997 } @INPROCEEDINGS{Lin97b, author = "R. Lin", title = "Shift switching with domino logic: asynchronous {VLSI} comparator schemes", booktitle= ivlsi, pages = "520--522", publisher= icsp, html = "http://computer.org/proceedings/vlsid/7755/77550520abs.htm", month = jan, year = 1997 } @PHDTHESIS{Linder94, author = "Daniel H. Linder", title = "Phased Logic: A Design Methodology for Delay-Insensitive, Synchronous Circuitry", school = "Mississippi State Univ.", year = 1994 } @ARTICLE{Linder96, author = "Daniel H. Linder and James C. Harden", title = "Phased Logic: Supporting the Synchronous Design Paradigm with Delay-Insensitive Circuitry", pages = "1031--1044", journal= ieeetc, volume = 45, number = 9, month = sep, year = 1996 } @MASTERSTHESIS{Lipsher94, author = "Jonathan Brian Lipsher", title = "The Asynchronous Discrete Cosine Transform Processor Core", school = ucd, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/JLThesis.ps.Z", year = 1994 } @TECHREPORT{Lipsher94b, author = "J. B. Lipsher and K. Maheswaran", title = "A 4-Bit Asynchronous Pipelined Multiplier in the {Xilinx 4000} Series {FPGA}", institution= ucd, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/Mult.ps.Z", year = 1994 } @INPROCEEDINGS{Lipton75, author = "R. J. Lipton and R. E. Miller and L. Snyder", title = "Synchronization and computing capabilities of linear asynchronous structures", booktitle= "Proc. 16th Annual Symposium on Foundations of Computer Science", pages = "19--28", month = oct, year = 1975 } @ARTICLE{Lister85, author = "P. F. Lister", title = "Design methodology for self-timed {VLSI} systems", pages = "25--32", journal= ieepcdt, volume = 132, number = 1, month = jan, year = 1985 } @ARTICLE{Liu63, author = "C. N. Liu", title = "A State Variable Assignment Method for Asynchronous Sequential Switching Circuits", journal= jacm, volume = 10, pages = "209--216", year = 1963 } @MASTERSTHESIS{Liu92, author = "Ying Liu", title = "Reasoning about asynchronous designs in {CCS}", school = "Dept. of Electrical and Computer Engineering, University of Calgary", year = 1992 } @INPROCEEDINGS{Liu96, author = "Ying Liu and Graham Birtwistle", title = "Specifying and property checking the {AMULET1} address interface", booktitle= dcc, year = 1996 } @PHDTHESIS{Liu97, author = "J. Liu", title = "Arithmetic and control components for an asynchronous microprocessor", school = mcu, year = 1997 } @ARTICLE{Lloyd92, author = "D. Lloyd and S. Jones", title = "Improved self-timed circuit design method", journal= el, volume = 28, number = 5, pages = "492--493", month = feb, year = 1992 } @INPROCEEDINGS{Lloyd98, author = "Lee Lloyd and Alex V. Yakovlev and Enric Pastor and Albert M. Koelmans", title = "Estimation of Power Consumption in Asynchronous Logic as Derived from Graph Based Circuit Representations", pages = "367--376", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle=patmos, month = oct, year = 1998 } @INPROCEEDINGS{Lloyd99, author = "D. W. Lloyd and J. D. Garside and D. A. Gilbert", title = "Memory Faults in Asynchronous Microprocessors", pages = "71--80", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Lu92, author = "Shih-Lien Lu and Lalit Merani", title = "Micro Data Flow", booktitle= "4th Annual IEEE International ASIC Conference and Exhibit", year = 1992 } @ARTICLE{Lu95, author = "Shih-Lien Lu", title = "Implementation of Micropipelines in Enable/Disable {CMOS} Differential Logic", pages = "338--341", journal= ieeevlsi, volume = 3, number = 2, month = jun, year = 1995 } @ARTICLE{Lubkin52, author = "S. Lubkin", title = "Asynchronous Circuits in Digital Computers", journal= "Mathematical Tables and other Aids to Computation", pages = "238--241", month = oct, year = 1952 } @PHDTHESIS{Lucassen94, author = "Paul G. Lucassen", title = "A Denotational Model and Composition Theorems for a Calculus of Delay-Insensitive Specifications", school = "Dept. of C.S., Univ. of Groningen, The Netherlands", month = may, year = 1994 } @INPROCEEDINGS{Lucassen95, author = "P. G. Lucassen and J. T. Udding", title = "High-Level Design and Verification of an Asynchronous Queue Controller", booktitle= patmos, month = oct, year = 1995 } @INPROCEEDINGS{Lucassen96, author = "P. G. Lucassen and J. T. Udding", title = "On the Correctness of the {Sproull} Counterflow Pipeline Processor", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Lucassen97, author = "Paul G. Lucassen and Indra Polak and Jan Tijmen Udding", title = "Normal Form in {DI}-Algebra with Recursion", pages = "167--174", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Mackey95, author = "R. P. Mackey and J. J. Rodriguez and J. D. Carothers and S. B. K. Vrudhula", title = "A single-chip, asynchronous echo canceller for high-speed data communication", editor = "W. A. Cook and R. A. Hull and C. Traver", booktitle="Proceedings of Eighth International Application Specific Integrated Circuits Conference", pages = "181--184", month = sep, year = 1995 } @ARTICLE{Mackey96, author = "R. P. Mackey and J. J. Rodriguez and J. D. Carothers and S. B. K. Vrudhula", title = "Asynchronous {VLSI} architecture for adaptive echo cancellation", journal= el, volume = 32, number = 8, pages = "710--711", year = 1996 } @INPROCEEDINGS{Maezawa96, author = "M. Maezawa and I. Kurosawa and Y. Kameda and T. Nanya", title = "Pulse-driven dual-rail logic gate family based on rapid single flux quantum ({RSFQ}) devices for asynchronous circuits", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Maezawa97, author = "M. Maezawa and I. Kurosawa and M. Aoyagi and H. Nakagawa and Y. Kameda and T. Nanya", title = "Rapid single-flux-quantum dual-rail logic for asynchronous circuits", journal= ieeetas, volume = 7, number = 2, pages = "2705--2708", month = jun, year = 1997 } @INPROCEEDINGS{Mago70, author = "Gyula Mag\'o", title = "Asynchronous sequential circuits with (2,1) type state assignments", pages = "109--113", booktitle= ssat, publisher= icsp, year = 1970 } @ARTICLE{Mago71, author = "G. Mag\'o", title = "Realization Methods for Asynchronous Sequential Circuits", journal= ieeetc, volume = "C-20", number = 3, pages = "290--297", month = mar, year = 1971 } @MASTERSTHESIS{Maheswaran94, author = "K. Maheswaran", title = "Implementing Self-Timed Circuits in Field Programmable Gate Arrays", school = ucd, html = "http://www.ece.ucdavis.edu/cerl/maheswar/thesis.html", year = 1994 } @TECHREPORT{Maheswaran94a, author = "K. Maheswaran and V. Akella", title = "Hazard-free implementation of the self-timed cell set for the {Xilinx} 4000 Series {FPGA}", institution= ucd, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/Hazard.ps.Z", year = 1994 } @TECHREPORT{Maheswaran94b, author = "K. Maheswaran and J. B. Lipsher", title = "A Cell Set for Self-Timed Design Using {Xilinx XC4000} Series {FPGA}", institution= ucd, postscript = "ftp://ftp.ece.ucdavis.edu/pub/akella/Cells.ps.Z", year = 1994 } @TECHREPORT{Mak92, author = "Rudolf H. Mak and Mark B. Josephs", title = "Proceedings of {ACiD-WG/EXACT} Workshop on Asynchronous Data Processing", institution= eut, number = "EXACT/D.2/EUT/m6/D2", month = dec, year = 1992 } @ARTICLE{Maki69, author = "G. K. Maki and J. H. Tracy and R. J. Smith", title = "Generation of design equations in asynchronous sequential circuits", journal= ieeetc, volume = 18, pages = "467--472", month = may, year = 1969 } @ARTICLE{Maki71, author = "G. K. Maki and J. H. Tracy", title = "A state assignment procedure for asynchronous sequential circuits", journal= ieeetc, volume = 20, pages = "666--668", month = jun, year = 1971 } @INPROCEEDINGS{Maki94, author = "Gary K. Maki and Kristen Q. Chen", title = "Partition Algebraic Design of Asynchronous Sequential Circuits", pages = "313--315", booktitle= "Proc. 1st International Conference on ASIC", address= "Beijing, China", month = oct, year = 1994 } @INPROCEEDINGS{Malachi81, author = "Malachi, Yonatan and Owicki, Susan S.", title = "Temporal Specifications of Self-Timed Systems", booktitle= "{VLSI} Systems and Computations", editor = "Kung, H. T. and Sproull, Bob and Steele, Guy", publisher= csp, pages = "203--212", year = 1981 } @INPROCEEDINGS{Mallon97, author = "Willem C. Mallon and Jan Tijmen Udding", title = "Using Metrics for Proof Rules for Recursively Defined Delay-insensitive Specifications", pages = "175--183", booktitle= async, publisher= icsp, month = apr, year = 1997 } @TECHREPORT{Mallon97a, author = "Willem C. Mallon and Jan Tijmen Udding", title = "Construction of an operational semantics for {DI}-algebra", number = "CSN 9710", institution= "Dept. of Comp. Science, Univ. of Groningen", year = 1997 } @INPROCEEDINGS{Mallon98, author = "Willem C. Mallon and Jan Tijmen Udding", title = "Building Finite Automatons from {DI} Specifications", pages = "184--193", booktitle= async, year = 1998 } @INPROCEEDINGS{Mallon99, author = "W. C. Mallon and J. T. Udding and T. Verhoeff", title = "Analysis and Applications of the {XDI} model", pages = "231--242", booktitle= async, month = apr, year = 1999 } @PHDTHESIS{Mallon2000, author = "Willem C. Mallon", title = "Theories and Tools for the Design of Delay-Insensitive Communicating Processes", school = "Dept. of Comp. Science, Univ. of Groningen", year = 2000 } @ARTICLE{Manner88, author = "R. M{\"a}nner", title = "Metastable states in asynchronous digital systems - avoidable or unavoidable", journal= "Microelectronics and Reliability", volume = 28, number = 2, pages = "295--307", year = 1988 } @INPROCEEDINGS{Manohar96, author = "R. Manohar and A. J. Martin", title = "Quasi-delay-insensitive Circuits are {Turing} Complete", booktitle= async, publisher= icsp, month = mar, year = 1996 } @PHDTHESIS{Manohar98, author = "Rajit Manohar", title = "The Impact of Asynchrony on Computer Architecture", school = caltech, note = "Available as Caltech technical report CS-TR-98-12", year = 1998 } @ARTICLE{Manohar98a, author = "Rajit Manohar and Jos\'{e} A. Tierno", title = "Asynchronous Parallel Prefix Computation", journal= ieeetcad, volume = 47, number = 11, pages = "1244--1252", postscript = "http://csl.cornell.edu/~rajit/ps/prefix.ps.gz", note = "An earlier version is available as Caltech technical report CS-TR-96-20", month = nov, year = 1998 } @INPROCEEDINGS{Manohar99, author = "Rajit Manohar and Tak-Kwan Lee and Alain J. Martin", title = "Projection: A Synthesis Technique for Concurrent Systems", pages = "125--134", booktitle= async, postscript = "http://csl.cornell.edu/~rajit/ps/proj.ps.gz", month = apr, year = 1999 } @INPROCEEDINGS{Mariani97, author = "R. Mariani and R. Roncella and R. Saletti and P. Terreni", title = "On the Realisation of Delay-Insensitive Asynchronous Circuits with {CMOS} Ternary Logic", pages = "54--62", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Mariani97a, author = "R. Mariani and R. Roncella and R. Saletti and P. Terreni", title = "A useful application of {CMOS} ternary logic to the realisation of asynchronous circuits", booktitle="Proceedings 1997 27th International Symposium on Multiple-Valued Logic", pages = "203--208", html = "http://computer.org/proceedings/ismvl/7910/79100203abs.htm", publisher=icsp, year = 1997 } @ARTICLE{Marino77, author = "Leonard R. Marino", title = "The effect of asynchronous inputs on sequential network reliability", journal= ieeetc, volume = 26, pages = "1082--1090", year = 1977 } @ARTICLE{Marino81, author = "Leonard R. Marino", title = "General Theory of Metastable Operation", journal= ieeetc, volume = "C-30", number = 2, pages = "107--115", month = feb, year = 1981 } @INCOLLECTION{Marques83, author = "J. Alves Marques and A. Cunha", title = "Clocking of {VLSI} Circuits", editor = "B. Randell and P. C. Treleaven", booktitle= "VLSI Architecture", chapter= 15, pages = "165--178", publisher= ph, year = 1983 } @ARTICLE{Marshall94, author = "Alan Marshall and Bill Coates and Polly Siegel", title = "Designing an Asynchronous Communications Chip", journal= ieeedt, volume = 11, number = 2, postscript = "ftp://snooze.stanford.edu/pub/papers/async/MCS94.ps", pages = "8--21", year = 1994 } @ARTICLE{Martin85a, author = "Alain J. Martin", title = "The Probe: An Addition to Communication Primitives", journal= ipl, volume = 20, number = 3, pages = "125--130", note = "Erratum: IPL 21(2):107, 1985", year = 1985 } @INPROCEEDINGS{Martin85b, author = "Alain J. Martin", title = "The Design of a Self-timed Circuit for Distributed Mutual Exclusion", booktitle="Proceedings of the 1985 Chapel Hill Conference on {VLSI}", publisher= csp, pages = "245--260", editor = "Henry Fuchs", year = 1985 } @TECHREPORT{Martin85c, author = "Alain J. Martin", title = "A Delay-Insensitive Fair Arbiter", institution=caltech, number = "5193:TR:85", year = 1985 } @ARTICLE{Martin86, author = "Alain J. Martin", title = "Compiling Communicating Processes into Delay-Insensitive {VLSI} Circuits", journal= dc, volume = 1, number = 4, pages = "226--234", year = 1986 } @TECHREPORT{Martin86b, author = "Alain J. Martin", title = "On {S}eitz's Arbiter", institution= "Caltech Computer Science", number = "5212:TR:86", year = 1986 } @INPROCEEDINGS{Martin86c, author = "Alain J. Martin", title = "Self-Timed {FIFO}: An Exercise in Compiling Programs into {VLSI} Circuits", editor = "D. Borrione", booktitle= "From {HDL} Descriptions to Guaranteed Correct Circuit Designs", pages = "133--153", publisher= esp, year = 1986 } @INPROCEEDINGS{Martin87, author = "Alain J. Martin", title = "A Synthesis Method for Self-Timed {VLSI} Circuits", booktitle= iccd, publisher= icsp, address= "Rye Brook, NY", pages = "224--229", year = 1987 } @INPROCEEDINGS{Martin89, author = "Martin, Alain J. and Burns, Steven M. and Lee, T. K. and Borkovic, Drazen and Hazewindus, Pieter J.", title = "The Design of an Asynchronous Microprocessor", pages = "351--373", booktitle= arvlsi, editor = "Seitz, Charles L.", publisher= mit, year = 1989 } @INPROCEEDINGS{Martin89b, author = "Martin, Alain J.", title = "Formal Program Transformations for {VLSI} Circuit Synthesis", pages = "59--80", booktitle= "Formal Development of Programs and Proofs", series = "{UT} Year of Programming Series", editor = "Dijkstra, Edsger W.", publisher= aw, year = 1989 } @INPROCEEDINGS{Martin89c, author = "Martin, Alain J.", title = "The Design of a Delay-Insensitive Microprocessor: An Example of Circuit Synthesis by Program Transformation", booktitle="Hardware Specification, Verification and Synthesis: Mathematical Aspects", editor = "M. Leeser and G. Brown", series = lncs, volume = 408, pages = "244--259", publisher= springer, year = 1989 } @ARTICLE{Martin89d, author = "Martin, Alain J. and Burns, Steven M. and Lee, T. K. and Borkovic, Drazen and Hazewindus, Pieter J.", title = "The first asynchronous microprocessor: the test results", journal= "Computer Architecture News", volume = 17, number = 4, pages = "95--110", month = jun, year = 1989 } @INPROCEEDINGS{Martin90b, author = "Martin, Alain J.", title = "The Limitations to Delay-Insensitivity in Asynchronous Circuits", pages = "263--278", booktitle= arvlsi, editor = "William J. Dally", publisher= mit, year = 1990 } @INPROCEEDINGS{Martin90c, author = "Martin, Alain J.", title = "Programming in {VLSI}: From Communicating Processes to Delay-Insensitive Circuits", booktitle= "Developments in Concurrency and Communication", series = "{UT} Year of Programming Series", editor = "Hoare, C. A. R.", pages = "1--64", publisher= aw, year = 1990 } @INCOLLECTION{Martin90d, author = "Martin, Alain J.", title = "Synthesis of Asynchronous {VLSI} Circuits", pages = "237--283", chapter= 6, booktitle="Formal Methods for {VLSI} Design", editor = "J. Straunstrup", publisher= "North-Holland", year = 1990 } @INPROCEEDINGS{Martin91, author = "Martin, Alain J. and Hazewindus, Pieter J.", title = "Testing Delay-Insensitive Circuits", key = "testing", pages = "118--132", booktitle= arvlsi, editor = "S\'equin, Carlo H.", publisher= mit, year = 1991 } @BOOKLET{Martin91a, author = "Alain J. Martin", title = "Synthesis of Asynchronous {VLSI} Circuits", howpublished= "Course Notes, VLSI91, Edinburgh", month = aug, year = 1991 } @ARTICLE{Martin92, author = "Alain J. Martin", title = "Asynchronous Datapaths and the Design of an Asynchronous Adder", pages = "119--137", journal= fmsd, volume = 1, number = 1, month = jul, year = 1992 } @INPROCEEDINGS{Martin92a, author = "Alain J. Martin", title = "Tomorrow's Digital Hardware will be Asynchronous and Verified", pages = "684--695", editor = "J. van Leeuwen", booktitle= "Information Processing 92, Vol.~I: Algorithms, Software, Architecture", series = ifip, publisher= esp, volume = "A-12", year = 1992 } @INPROCEEDINGS{Martin97, author = "Alain J. Martin and Andrew Lines and Rajit Manohar and Mika Nystroem and Paul Penzes and Robert Southworth and Uri Cummings", title = "The Design of an Asynchronous {MIPS R3000} Microprocessor", booktitle= arvlsi, pdf = "http://www.avlsi.com/minimips/minimips.pdf", pages = "164--181", month = sep, year = 1997 } @INPROCEEDINGS{Mathew98, author = "Sanu Mathew and Ramalingam Sridhar", title = "Data-Driven Self-Timed Differential Cascode Voltage Switch Logic", booktitle= iscas, pages = "165--168", month = jun, year = 1998 } @INPROCEEDINGS{Matsubara97, author = "Gensoh Matsubara and Nobuhiro Ide", title = "A Low Power Zero-Overhead Self-Timed Division and Square Root Unit Combining a Single-Rail Static Circuit with a Dual-Rail Dynamic Circuit", pages = "198--209", booktitle= async, publisher= icsp, month = apr, year = 1997 } @ARTICLE{Maxfield95, author = "Clive Maxfield", title = "To be or not to be asynchronous; that is the question", pages = "157--173", journal= "EDN", month = "Dec 7", year = 1995 } @ARTICLE{McAuley92a, author = "Anthony J. McAuley", title = "Four State Asynchronous Architectures", journal= ieeetc, pages = "129--142", volume = 41, number = 2, month = feb, year = 1992 } @ARTICLE{McAuley92b, author = "Anthony J. McAuley", title = "Dynamic Asynchronous Logic for High-Speed {CMOS} Systems", journal= ieeejssc, pages = "382--388", volume = 27, number = 3, month = mar, year = 1992 } @INPROCEEDINGS{McMillan92, author = "Kenneth McMillan", title = "Using unfoldings to avoid the state explosion problem in the verification of asynchronous circuits", pages = "164--177", editor = "G. v. Bochman and D. K. Probst", booktitle= cav, series = lncs, volume = 663, publisher= springer, year = 1992 } @INPROCEEDINGS{McMillan95, author = "K. L. McMillan", title = "Trace theoretic verification of asynchronous circuits using unfoldings", booktitle= cav, year = 1995 } @MASTERSTHESIS{Mehra92, author = "R. Mehra", title = "Micropipelined Cache Design Strategies for an Asynchronous Microprocessor", school = mcu, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/theses/rmehra_msc.ps.Z", year = 1992 } @ARTICLE{Mehra95, author = "R. Mehra and J. D. Garside", title = "A Cache Line FIll Circuit for a Micropipelined, Asynchronous Microprocessor", journal= tcca, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/cache_lf.ps.Z", month = oct, year = 1995 } @INPROCEEDINGS{Meijer92, author = "E. Meijer", title = "Hazard algebra and the design of asynchronous automata", booktitle= "Proc. of the 1992 Glasgow workshop on Functional Programming", pages = "166--177", year = 1992 } @INPROCEEDINGS{Meincke99, author = "T. Meincke and A. Hemani and S. Kumar and P. Ellervee and J. {\"o}berg and T. Olsson and P. Nilsson and D. Lindqvist and H. Tenhunen", title = "Globally Asynchronous Locally Synchronous Architecture for Large High-Performance {ASICs}", booktitle= iscas, html = "http://www.ele.kth.se/~meincke/STUDIES/OwnPapers.html", year = 1999 } @ARTICLE{Mendler93, author = "Michael Mendler and Terry Stroup", title = "Newtonian Arbiters Cannot be Proven Correct", journal= fmsd, volume = 3, number = 3, month = dec, year = 1993 } @INPROCEEDINGS{Meng87, author = "Meng, Teresa H.-Y. and Brodersen, Robert W. and Messerschmitt, David G.", title = "A Synthesis Method for Self-timed {VLSI} Circuits", booktitle= iccad, pages = "514--517", year = 1987 } @PHDTHESIS{Meng88, author = "Meng, Teresa H.-Y.", title = "Asynchronous Design for Digital Signal Processing Architectures", school = "{UC} Berkeley", year = 1988 } @INPROCEEDINGS{Meng88a, author = "T. H.-Y. Meng and G. M. Jacobs and R. W. Brodersen and D. G. Messerschmitt", title = "Asynchronous Processor Design for Digital Signal Processing", booktitle= "International Conference on Acoustics, Speech, and Signal Processing (ICASSP)", volume = 4, pages = "2013--2016", year = 1988 } @INCOLLECTION{Meng88b, author = "Teresa H.-Y. Meng and Robert W. Brodersen and David G. Messerschmitt", title = "Implementation of High Sampling Rate Adaptive Filters Using Asynchronous Design Techniques", chapter= 20, editor = "Robert W. Brodersen and Howard S. Moscovitz", booktitle= "VLSI Signal Processing, III", publisher= ieee, year = 1988 } @ARTICLE{Meng89, author = "Meng, Teresa H.-Y. and Brodersen, Robert W. and Messerschmitt, David G.", title = "Automatic Synthesis of Asynchronous Circuits from High-Level Specifications", journal= ieeetcad, volume = 8, number = 11, pages = "1185--1205", month = nov, year = 1989 } @ARTICLE{Meng90, author = "Meng, Teresa H.-Y. and Brodersen, Robert W. and Messerschmitt, David G.", title = "A Clock-Free Chip Set for High-Sampling Rate Adaptive Filters", journal= vlsisp, volume = 1, number = 4, pages = "345--365", year = 1990 } @ARTICLE{Meng91, author = "Meng, Teresa H.-Y. and Brodersen, Robert W. and Messerschmitt, David G.", title = "Asynchronous Design for Programmable Digital Signal Processors", pages = "939--952", journal= ieeetsp, volume = 39, number = 4, month = apr, year = 1991 } @BOOK{Meng91a, author = "Meng, Teresa H.-Y.", title = "Synchronization Design for Digital Systems", publisher= kap, note = "Contributions by David Messerschmitt, Steven Nowick, and David Dill", year = 1991 } @INPROCEEDINGS{Miller61, author = "R. E. Miller", title = "An introduction to speed independent circuit theory", pages = "87--93", booktitle= "Proceedings of the Second Annual Symposium and Papers from the First Annual Symposium on Switching Circuit Theory and Logical Design", month = oct, year = 1961 } @INPROCEEDINGS{Miller63, author = "R. E. Miller", title = "A survey of asynchronous logic: Comparing various definitions and models for asynchronous switching circuits", pages = "149--152", booktitle="Proceedings of the Fourth Annual Symposium on Switching Circuit Theory and Logical Design", month = oct, year = 1961 } @BOOK{Miller65a, author = "Miller, R. E.", title = "Combinational Circuits", volume = 1, series = "Switching Theory", publisher= wiley, year = 1965 } @BOOK{Miller65b, author = "Miller, R. E.", title = "Sequential Circuits and Machines", volume = 2, series = "Switching Theory", publisher= wiley, year = 1965 } @INPROCEEDINGS{Mills89, author = "Mills, Peter H. and Brock, J. Dean", title = "A Partial Order Characterization of Delay-Insensitive Circuits", booktitle= "Proceedings of the {IFIP} {TC-10} Conference on Design Methodologies for {VLSI} and Computer Architecture", editor = "Edwards, D. A.", address= "Pisa, Italy, Sept. 1988", publisher= "North-Holland", year = 1989 } @BOOK{Milner89, author = "Robin Milner", title = "Communication and Concurrency", publisher= ph, year = 1989 } @INPROCEEDINGS{Mishra92, author = "Yogesh Mishra and S. D. Sherlekar and G. Venkatesh", title = "Path Breaker: A Tool for the Optimal Design of Speed Independent Asynchronous Controllers", pages = "2--8", booktitle= eurodac, address= "Hamburg, Germany", publisher= icsp, month = sep, year = 1992 } @ARTICLE{Misunas73, author = "David Misunas", title = "{Petri} Nets and Speed Independent Design", journal= cacm, volume = 16, number = 8, pages = "474--481", month = aug, year = 1973 } @INPROCEEDINGS{Mitchell96, author = "Ian Mitchell and Mark R. Greenstreet", title = "Proving {Newtonian} Arbiters Correct, almost surely", booktitle= dcc, year = 1996 } @INPROCEEDINGS{Miyamoto96, author = "T. Miyamoto and S. Kumagai", title = "An Efficient Algorithm for Deriving Logic Functions of Asynchronous Circuits", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Miyamoto97, author = "T. Miyamoto and S. Kumagai", title = "On deriving logic functions of asynchronous circuits by {STG} unfoldings", journal= ieicetis, volume = "E80-D", number = 3, pages = "336--343", html = "http://search.ieice.or.jp/1999/allsearch/e80-d_3_336.htm", month = mar, year = 1997 } @INPROCEEDINGS{Molina96, author = "Pedro A. Molina and P. Y. K. Cheung and David S. Bormann", title = "Quasi Delay-Insensitive Bus for Fully Asynchronous Systems", pages = "189--192", booktitle= iscas, volume = 4, month = may, year = 1996 } @INPROCEEDINGS{Molina97, author = "Pedro A. Molina and Peter Y.K. Cheung", title = "A Quasi Delay-Insensitive Bus Proposal for Asynchronous Circuits", pages = "126--139", booktitle= async, publisher= icsp, month = apr, year = 1997 } @TECHREPORT{Molnar83, author = "Molnar, Charles E. and Fang, Ting-Pien", title = "Synthesis of Reliable Speed-Independent Circuit Modules: I. General Method for Specification of Module-Environment Interaction and Derivation of a Circuit Realization", type = "Technical Memorandum", number = 297, institution= "Computer Systems Laboratory, Institute for Biomedical Computing, Washington Univ., St. Louis, MO", year = 1983 } @INPROCEEDINGS{Molnar85, author = "Molnar, Charles E. and Fang, Ting-Pien and Rosenberger, Frederick U.", title = "Synthesis of Delay-Insensitive Modules", booktitle= "1985 {C}hapel {H}ill Conference on Very Large Scale Integration", editor = "Fuchs, Henry", pages = "67--86", publisher= csp, year = 1985 } @INPROCEEDINGS{Molnar86, author = "Molnar, Charles E.", title = "Introduction to Asynchronous Systems", booktitle="Proceedings New Frontiers in Computer Science Conference", pages = "83--93", address= "Santa Monica: Citicorp/TTI", month = mar, year = 1986 } @INPROCEEDINGS{Molnar97, author = "Charles E. Molnar and Ian W. Jones and Bill Coates and Jon Lexau", title = "A {FIFO} Ring Oscillator Performance Experiment", pages = "279--289", booktitle= async, publisher= icsp, month = apr, year = 1997 } @TECHREPORT{Molnar97a, author = "C. Molnar and H. Schols", title = "The design problem {SCPP-A}", number = "SML-97:0538", institution= "SUN Microsystems Laboratories", month = oct, year = 1997 } @ARTICLE{Molnar99, author = "Charles E. Molnar and Ian W. Jones and William S. Coates and Jon K. Lexau and Scott M. Fairbanks and Ivan E. Sutherland", title = "Two {FIFO} Ring Performance Experiments", pages = "297--307", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @INPROCEEDINGS{Montiel96, author = "J. A. Montiel-Nelson and S. Nooshabadi", title = "Architecture and design of a very fast real time delay insensitive asynchronous morphological processor in {GaAs}", booktitle="Proceedings of Digital Processing Applications (TENCON '96)", volume = 1, pages = "363--370", year = 1996 } @ARTICLE{Montiel97, author = "J. A. Montiel-Nelson and S. V. Nooshabadi", title = "High performance asynchronous {FIR} filter design in {GaAs}", journal= ieepcds, pages = "289--296", volume = 144, number = 5, month = oct, year = 1997 } @INPROCEEDINGS{Moon91a, author = "Cho W. Moon and Paul R. Stephan and Robert K. Brayton", title = "Specification, Synthesis and Verification of Hazard-free Asynchronous Circuits", booktitle= iwls, month = may, year = 1991 } @INPROCEEDINGS{Moon91b, author = "Cho W. Moon and Paul R. Stephan and Robert K. Brayton", title = "Synthesis of Hazard-free Asynchronous Circuits from Graphical Specifications", booktitle= iccad, publisher= icsp, pages = "322--325", month = nov, year = 1991 } @INPROCEEDINGS{Moon93, author = "Cho W. Moon and Robert K. Brayton", title = "Elimination of dynamic hazards in asynchronous circuits by factoring", pages = "7--13", booktitle= dac, publisher= icsp, month = jun, year = 1993 } @ARTICLE{Moon94, author = "Cho W. Moon and Paul R. Stephan and Robert K. Brayton", title = "Specification, Synthesis and Verification of Hazard-Free Asynchronous Circuits", pages = "85--100", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @ARTICLE{Moore96, author = "S. Moore and P. Robinson and S. Wilcox", title = "Rotary pipeline processors", pages = "259--265", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @INPROCEEDINGS{Moore98, author = "Simon W. Moore and Peter Robinson", title = "Rapid Prototyping of Self-timed Circuits", booktitle= iccd, pages = "360--365", month = oct, year = 1998 } @INPROCEEDINGS{Morton94, author = "Shannon V. Morton and Sam S. Appleton and Michael J. Liebelt", title = "An Event Controlled Reconfigurable Multi-chip {FFT}", pages = "144--153", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Morton95, author = "Shannon V. Morton and Sam S. Appleton and Michael J. Liebelt", title = "{ECSTAC}: A Fast Asynchronous Microprocessor", pages = "180--189", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Mota98, author = "Antonio Mota and Jose C. Monteiro and Arlindo L. Oliveira", title = "Power Optimization of Combinational Modules Using Self-Timed Precomputation", booktitle= iscas, pages = "17--20", month = jun, year = 1998 } @ARTICLE{Mukai74, author = "Yuzo Mukai and Yoshihiro Tohma", title = "A method for the realization of fail-safe asynchronous sequential circuits", pages = "736--739", journal= ieeetc, volume = 23, number = 7, month = jul, year = 1974 } @INPROCEEDINGS{Mudge89, author = "J. Craig Mudge", title = "An Illustration of Micropipelines using Two-Dimensional Fourier Transform Architectures", pages = "359--368", booktitle= "Proceedings of {VLSI} 89", editor = "G. Musgrave and U. Lauther", publisher= esp, year = 1989 } @INPROCEEDINGS{Muller59, author = "David E. Muller and W. S. Bartky", title = "A Theory of Asynchronous Circuits", booktitle= "Proceedings of an International Symposium on the Theory of Switching", publisher= "Harvard University Press", pages = "204--243", month = apr, year = 1959 } @INPROCEEDINGS{Muller62, author = "David E. Muller", title = "Asynchronous Logics and Application to Information Processing", booktitle= "Symposium on the Application of Switching Theory to Space Technology", publisher= "Stanford University Press", pages = "289--297", year = 1962 } @INPROCEEDINGS{Muller67, author = "D. E. Muller", title = "The General Synthesis Problem for Asynchronous Digital Networks", booktitle= ssat, address= "New York", year = 1967 } @INPROCEEDINGS{Muller97, author = "J. M. Muller and A. Tisserand and J. M. Vincent", title = "Asynchronous sub-logarithmic adders", booktitle= "1997 IEEE Pacific Rim Conference on Communications, Computers and Signal Processing", volume = 2, pages = "515--518", year = 1997 } @INPROCEEDINGS{Myers92, author = "Chris Myers and Teresa H.-Y. Meng", title = "Synthesis of Timed Asynchronous Circuits", pages = "279--282", booktitle= iccd, publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/MM92.ps", month = oct, year = 1992 } @ARTICLE{Myers93, author = "Chris J. Myers and Teresa H.-Y. Meng", title = "Synthesis of Timed Asynchronous Circuits", pages = "106--119", journal= ieeevlsi, volume = 1, number = 2, month = jun, year = 1993 } @TECHREPORT{Myers95, author = "C. J. Myers and T. G. Rokicki and T. H.-Y. Meng", title = "Automatic synthesis and verification of gate-level timed circuits", number = "CSL-TR-94-652", institution= su, month = jan, year = 1995 } @INPROCEEDINGS{Myers95a, author = "C. J. Myers and T. G. Rokicki and T. H.-Y. Meng", title = "Automatic synthesis of gate-level timed circuits with choice", booktitle= arvlsi, pages = "42--58", publisher= icsp, year = 1995 } @INPROCEEDINGS{Myers95b, author = "Chris J. Myers and Peter A. Beerel and Teresa H.-Y. Meng", title = "Technology Mapping of Timed Circuits", pages = "138--147", booktitle= adm, series = ifip, publisher= esp, postscript = "http://jungfrau.usc.edu/pub/london.ps", month = may, year = 1995 } @PHDTHESIS{Myers95c, author = "Chris J. Myers", title = "Computer-Aided Synthesis and Verification of Gate-Level Timed Circuits", school = "Dept. of Elec. Eng., Stanford University", month = oct, year = 1995 } @INPROCEEDINGS{Myers97, author = "Chris J. Myers and Hao Zheng", title = "An asynchronous implementation of the {MAXLIST} algorithm", booktitle= "1997 International Conference on Acoustics, Speech, and Signal Processing", month = apr, year = 1997 } @ARTICLE{Myers99, author = "C. J. Myers and T. G. Rokicki and T. H.-Y. Meng", title = "{POSET} Timing and Its Application to the Synthesis and Verification of Gate-Level Timed Circuits", pages = "769--786", journal= ieeetcad, volume = 18, number = 6, month = jun, year = 1999 } @ARTICLE{Nagalla94, author = "Radhakrishna Nagalla and Graham Hellestrand", title = "Signal Transition Graph Constraints for Synthesis of Hazard-Free Asynchronous Circuits with Unbounded-Gate Delays", pages = "245--273", journal= fmsd, volume = 5, number = 3, month = dec, year = 1994 } @INPROCEEDINGS{Nagalla96, author = "Radhakrishna Nagalla and Graham Hellestrand", title = "Elimination of Dynamic Hazards from Signal Transition Graphs", booktitle= ivlsi, publisher= icsp, month = jan, year = 1996 } @INPROCEEDINGS{Nagalla97, author = "Radhakrishna Nagalla and Graham Hellestrand", title = "A visual approach for asynchronous circuit synthesis", booktitle= ivlsi, pages = "329--335", publisher= icsp, month = jan, year = 1997 } @INPROCEEDINGS{Nagata97, author = "Y. Nagata and M. Mukaidono", title = "Design of an asynchronous digital system with {B}-ternary logic", booktitle="Proceedings 1997 27th International Symposium on Multiple-Valued Logic", pages = "265--271", publisher=icsp, year = 1997 } @INPROCEEDINGS{Nagata98, author = "Yasunori Nagata and D. Michael Miller and Masao Mukaidono", title = "B-ternary Logic Based Asynchronous Micropipeline", booktitle="Proceedings of the Twenty Ninth IEEE International Symposium on Multi-Valued Logic", html = "http://computer.org/proceedings/ismvl/0161/01610214abs.htm", year = 1998 } @INPROCEEDINGS{Nagel98, author = "J-L. Nagel and C. Piguet", title = "Low-Power Design of Asynchronous Microprocessors", pages = "419--428", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle=patmos, month = oct, year = 1998 } @INPROCEEDINGS{Nanda97, author = "K. Nanda and S. K. Desai and S. K. Roy", title = "A new methodology for the design of asynchronous digital circuits", booktitle= ivlsi, pages = "342--347", publisher= icsp, month = jan, year = 1997 } @ARTICLE{Nanya78, author = "Takashi Nanya and Yoshihiro Tohma", title = "On universal single transition time asynchronous state assignments", pages = "781--782", journal= ieeetc, volume = 27, number = 8, month = aug, year = 1978 } @ARTICLE{Nanya79, author = "Takashi Nanya and Yoshihiro Tohma", title = "Universal multicode {STT} state assignments for asynchronous sequential machines", pages = "811--818", journal= ieeetc, volume = 28, number = 11, month = nov, year = 1979 } @INPROCEEDINGS{Nanya80, author = "Takashi Nanya and Yoshihiro Tohma", title = "Design of self-checking asynchronous sequential circuits", pages = "278--280", booktitle= ftcs, month = oct, year = 1980 } @INPROCEEDINGS{Nanya93, author = "T. Nanya and M. Kuwako", title = "On Signal Transition Causality for Self-Timed Implementation of Boolean Functions", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @INCOLLECTION{Nanya93a, author = "Takashi Nanya", title = "Challenges to Dependable Asynchronous Processor Design", editor = "Tsutomu Sasao", booktitle= "Logic Synthesis and Optimization", chapter= 9, pages = "191--213", publisher= kap, year = 1993 } @ARTICLE{Nanya94, author = "Takashi Nanya and Yoichiro Ueno and Hiroto Kagotani and Masashi Kuwako and Akihiro Takamura", title = "{TITAC}: Design of a Quasi-Delay-Insensitive Microprocessor", journal= ieeedt, volume = 11, number = 2, pages = "50--63", year = 1994 } @INPROCEEDINGS{Nanya96, author = "Takashi Nanya and Yoshio Kameda", title = "Pulse-Driven Delay-Insensitive Circuits Using Single-Flux-Quantum Devices", booktitle= iccd, month = oct, year = 1996 } @INPROCEEDINGS{Nanya96a, author = "Takashi Nanya", title = "A new perspective on asynchronous {VLSI} system design", booktitle= apchdl, pages = "120--127", month = jan, year = 1996 } @INPROCEEDINGS{Nanya97, author = "T. Nanya and A. Takamura and M. Kuwako and M. Imai and T. Fujii and M. Ozawa and I. Fukasaku and Y. Ueno and F. Okamoto and H. Fujimoto and O. Fujita and M. Yamashina and M. Fukuma", title = "{TITAC-2}: A 32-bit Scalable-Delay-Insensitive Microprocessor", booktitle="Symposium Record of HOT Chips IX", pages = "19--32", month = aug, year = 1997 } @INPROCEEDINGS{Nanya99, author = "T. Nanya and A. Takamura and M. Kuwako and M. Imai and M. Ozawa and M. Ozcan and R. Morizawa and H. Nakamura", title = "Scalable-Delay-Insensitive Design: A high-performance approach to dependable asynchronous systems", pages = "531--540", booktitle = "Proc. International Symp. on Future of Intellectual Integrated Electronics", address= "Sendai, Japan", pdf = "http://www.hal.rcast.u-tokyo.ac.jp/titac2/Nanya-FIIE.pdf", month = mar, year = 1999 } @INPROCEEDINGS{Negulescu95, author = "R. Negulescu and J. A. Brzozowski", title = "Relative Liveness: From Intuition to Automated Verification", pages = "108--117", booktitle= adm, publisher= icsp, postscript = "ftp://cs-archive.uwaterloo.ca/cs-archive/CS_95_32/CS_95_32.ps.gz", month = may, year = 1995 } @TECHREPORT{Negulescu95a, author = "Radu Negulescu", title = "Process Spaces", institution="Dept. of Comp. Science, Univ. of Waterloo", number = "CS-95-48", html = "http://maveric.uwaterloo.ca/~radu/ps.html", url = "http://maveric0.uwaterloo.ca/Abstracts/Radu.Process.Spaces.html", month = dec, year = 1995 } @INPROCEEDINGS{Negulescu97, author = "Radu Negulescu", title = "A Technique for Finding and Verifying Speed-Dependences in Gate Circuits", booktitle= tau, address= "Austin, Texas, USA", month = dec, year = 1997 } @TECHREPORT{Negulescu97a, author = "Radu Negulescu", title = "A Technique for Finding and Verifying Speed-Dependences in Gate Circuits", type = "Research Report", number = "CS-97-28", institution= "Computer Science Dept., Univ. of Waterloo, Canada", html = "http://maveric.uwaterloo.ca/~radu/ps.html", month = aug, year = 1997 } @INPROCEEDINGS{Negulescu98, author = "R. Negulescu", title = "Event-Driven Verification of Switch-Level Correctness Concerns", booktitle=acsd, month = mar, year = 1998 } @INPROCEEDINGS{Negulescu98a, author = "Radu Negulescu and Ad Peeters", title = "Verification of Speed-Dependences in Single-Rail Handshake Circuits", pages = "159--170", booktitle=async, year = 1998 } @ARTICLE{Negulescu98b, author = "R. Negulescu and J. A. Brzozowski", title = "Relative Liveness: From Intuition to Automated Verification", pages = "73--115", journal= fmsd, volume = 12, number = 1, month = jan, year = 1998 } @PHDTHESIS{Negulescu98c, author = "Radu Negulescu", title = "Process Spaces and Formal Verification of Asynchronous Circuits", school = "Dept. of Computer Science, Univ. of Waterloo, Canada", month = aug, year = 1998 } @INPROCEEDINGS{Nguen98, author = "Loc Bao Nguen and M. Perkowski and L. Jozwiak", title = "Design of self-synchronized component {FSM}s for self-timed systems", booktitle="Proceedings 24th EUROMICRO Conference", pages = "253--260", year = 1998 } @INPROCEEDINGS{Nielsen90, author = "Nielsen, C. D. and Staunstrup, J. and Jones, S. R.", title = "Potential Performance Advantages of Delay Insensitivity", booktitle= "Proceedings of Workshop on Silicon Architectures for Neural Nets", address= "St. Paul-de-Vence, France", postscript= {ftp://ftp.id.dtu.dk/pub/Async/neunet.ps.Z}, month = nov, year = 1990 } @INPROCEEDINGS{Nielsen91, author = "Christian Dalsgaard Nielsen and J{\o}rgen Staunstrup and Simon Jones", title = "A Delay-Insensitive Neural Network Engine", editor = "Will R. Moore", booktitle= "Proceedings of the Workshop on {VLSI} for Neural Networks", month = sep, pages = "367--376", year = 1991 } @INPROCEEDINGS{Nielsen93, author = "Christian D. Nielsen and Alain J. Martin", title = "Design of a Delay-Insensitive Multiply-Accumulate Unit", booktitle= hicss, volume = "I", pages = "379--388", publisher= icsp, month = jan, year = 1993 } @ARTICLE{Nielsen93a, author = "Christian D. Nielsen and Alain J. Martin", title = "Design of a Delay-Insensitive Multiply-Accumulate Unit", pages = "291--311", journal= integration, volume = 15, number = 3, month = oct, year = 1993 } @INPROCEEDINGS{Nielsen94, author = "Lars Skovby Nielsen and Jens Spars\o", title = "Low-power operation using self-timed and adaptive scaling of the supply voltage", booktitle= "1994 International Workshop on Low Power, Napa, California", month = apr, year = 1994 } @INPROCEEDINGS{Nielsen94a, author = "Christian D. Nielsen and Michael Kishinevsky", title = "Performance Analysis Based on Timing Simulation", pages = "70--76", booktitle= dac, month = jun, year = 1994 } @INPROCEEDINGS{Nielsen94b, author = "Christian D. Nielsen", title = "Evaluation of Function Blocks for Asynchronous Design", booktitle= eurodac, publisher= icsp, pages = "454--459", month = sep, year = 1994 } @TECHREPORT{Nielsen94c, author = "Christian D. Nielsen", title = "Evaluation of Function Blocks Designs", number = "ID-TR: 1994-135", institution="Dept. of Computer Science, Technical University of Denmark, Lyngby", year = 1994 } @PHDTHESIS{Nielsen94d, author = "Christian D. Nielsen", title = "Performance Aspects of Delay-Insensitive Design", school = "Dept. of Computer Science, Technical University of Denmark, Lyngby", year = 1994 } @ARTICLE{Nielsen94e, author = "L. S. Nielsen and C. Niessen and J. Spars\o and C. H. van Berkel", title = "Low-power operation using self-timed and adaptive scaling of the supply voltage", pages = "391--397", journal= ieeevlsi, volume = 2, number = 4, month = dec, year = 1994 } @INPROCEEDINGS{Nielsen95, author = "L. S. Nielsen and J. Staunstrup", title = "Design and verification of a self-timed {RAM}", booktitle="Proceedings of the IFIP International Conference on VLSI", year = 1995 } @INPROCEEDINGS{Nielsen96, author = "L. S. Nielsen and J. Spars\o", title = "A Low-power Asynchronous Data-path for a {FIR} Filter Bank", booktitle= async, publisher= icsp, month = mar, year = 1996 } @PHDTHESIS{Nielsen97, author = "Lars Skovby Nielsen", title = "Low-power Asynchronous {VLSI} Design", school = "Department of Information Technology, Technical University of Denmark", number = "IT-TR:1997-12", year = 1997 } @INPROCEEDINGS{Nielsen98, author = "L. S. Nielsen and J. Spars\o", title = "An 85{$\mu$W} Asynchronous Filter-Bank for a Digital Hearing Aid", booktitle= isscc, month = feb, year = 1998 } @ARTICLE{Nielsen99, author = "Lars S. Nielsen and Jens Spars\o", title = "Designing Asynchronous Circuits for Low-Power: An {IFIR} Filter Bank for a Digital Hearing Aid", pages = "268--281", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @INPROCEEDINGS{Niessen88, author = "Niessen, Cees and van Berkel, C. H. (Kees) and Rem, Martin and Saeijs, Ronald W. J. J.", title = "{VLSI} Programming and Silicon Compilation: A Novel Approach from {Philips Research}", booktitle= iccd, publisher= icsp, pages = "150--151", address= "Rye Brook, New York", year = 1988 } @ARTICLE{Nordmann77, author = "Bernhard J. Nordmann", title = "Modular Asynchronous Control Design", pages = "196--207", journal= ieeetc, volume = 26, number = 3, month = mar, year = 1977 } @INPROCEEDINGS{Nouta92, author = "R. Nouta and M. Sim and Gunawan", title = "Two self-timed handshake controllers for high speed applications", booktitle= iscas, volume = 5, pages = "2124--2127", year = 1992 } @INPROCEEDINGS{Nowick89, author = "Nowick, Steven M. and Dill, David L.", title = "Practicality of State-Machine Verification of Speed-Independent Circuits", booktitle= iccad, publisher= icsp, pages = "266--269", month = nov, year = 1989 } @INPROCEEDINGS{Nowick91, author = "Nowick, Steven M. and Dill, David L.", title = "Automatic Synthesis of Locally-Clocked Asynchronous State Machines", booktitle= iccad, publisher= icsp, pages = "318--321", postscript = "ftp://snooze.stanford.edu/pub/papers/async/ND91a.ps", month = nov, year = 1991 } @INPROCEEDINGS{Nowick91a, author = "Nowick, Steven M. and Dill, David L.", title = "Synthesis of Asynchronous State Machines Using a Local Clock", pages = "192--197", booktitle= iccd, publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/ND91.ps", month = oct, year = 1991 } @INPROCEEDINGS{Nowick92, author = "Nowick, Steven M. and Dill, David L.", title = "Exact Two-Level Minimization of Hazard-Free Logic with Multiple-Input Changes", booktitle= iccad, publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/ND92.ps", month = nov, year = 1992 } @INPROCEEDINGS{Nowick92a, author = "Steven M. Nowick and Kenneth Y. Yun and David L. Dill", title = "Practical Asynchronous Controller Design", pages = "341--345", booktitle= iccd, publisher= icsp, postscript = "ftp://snooze.stanford.edu/pub/papers/async/NYD92.ps", pdf = "http://paradise.ucsd.edu/PAPERS/ICCD-92-PAC.pdf", month = oct, year = 1992 } @INPROCEEDINGS{Nowick93, author = "Steven M. Nowick and Mark E. Dean and David L. Dill and Mark Horowitz", title = "The Design of a High-Performance Cache Controller: a Case Study in Asynchronous Synthesis", booktitle= hicss, volume = "I", pages = "419--427", publisher= icsp, month = jan, year = 1993 } @PHDTHESIS{Nowick93a, author = "Steven M. Nowick", title = "Automatic Synthesis of Burst-Mode Asynchronous Controllers", school = "Stanford University, Department of Computer Science", year = 1993 } @ARTICLE{Nowick93b, author = "Steven M. Nowick and Mark E. Dean and David L. Dill and Mark Horowitz", title = "The Design of a High-Performance Cache Controller: a Case Study in Asynchronous Synthesis", pages = "241--262", journal= integration, volume = 15, number = 3, month = oct, year = 1993 } @INPROCEEDINGS{Nowick94, author = "S. M. Nowick and B. Coates", title = "Automated design of high-performance asynchronous state machines", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @INPROCEEDINGS{Nowick95, author = "S. Nowick and N. Jha and F.-C. Cheng", title = "Synthesis of Asynchronous Circuits for Stuck-at and Robust Path Delay Fault Testability", key = "testing", booktitle= ivlsi, month = jan, year = 1995 } @ARTICLE{Nowick95a, author = "Steven M. Nowick and David L. Dill", title = "Exact Two-Level Minimization of Hazard-Free Logic with Multiple-Input Changes", pages = "986--997", journal= ieeetcad, volume = 14, number = 8, month = aug, year = 1995 } @ARTICLE{Nowick96, author = "S. M. Nowick", title = "Design of a low-latency asynchronous adder using speculative completion", pages = "301--307", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @INPROCEEDINGS{Nowick97, author = "Steven M. Nowick and Kenneth Y. Yun and Peter A. Beerel", title = "Speculative Completion for the Design of High-Performance Asynchronous Dynamic Adders", pages = "210--223", booktitle= async, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-97-SC.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ASYNC-97-SC.ps", month = apr, year = 1997 } @INPROCEEDINGS{Nowick97a, author = "S. M. Nowick and M. Theobald", title = "Synthesis of low-power asynchronous circuits in a specified environment", booktitle=islped, pages = "92--95", year = 1997 } @ARTICLE{Nowick97b, author = "S. M. Nowick and N. K. Jha and F.-C. Cheng", title = "Synthesis of Asynchronous Circuits for Stuck-at and Robust Path Delay Fault Testability", journal= ieeetcad, pages = "1514-1521", volume = 16, number = 12, month = dec, year = 1997 } @ARTICLE{Nowick99, author = "Steven M. Nowick and Mark B. Josephs and C. H. (Kees) van Berkel", title = "Scanning the Special Issue on Asynchronous Circuits and Systems", pages = "219--222", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @TECHREPORT{Nurmi99, author = "Jari Nurmi and Pekka Seppa and Tommi Raita-aho and Mika Hoffren", title = "A High-Speed Self-Timed {FIR} Processor Implementation", postscript = "http://lenkkari.cs.tut.fi/~async/ps/Self-Timed_VLSI_final.ps.gz", institution= "Tampere University of Technology, Finland", year = 1999 } @INPROCEEDINGS{Oldfield91, author = "J. Oldfield and C. Kappler", title = "Implementing self-timed systems: comparison of configurable arrays with full custom circuits", booktitle= "{FPGA}s: International Workshop on Programmable Logic and Applications", publisher= "Abingdon EE\&CS Books", year = 1991 } @TECHREPORT{Olivieri92, author = "M. Olivieri", title = "Translating occam constructs into delay-insensitive circuits: a trace theory-based proof", institution= "Dept. of Biophys. and Electronic Eng., Univ. of Genoa, Italy", number = "CPSI92-1", year = 1992 } @ARTICLE{Olivieri96, author = "Mauro Olivieri and Alessandro De Gloria", title = "Hardware design of asynchronous fuzzy controllers", journal= "IEEE Trans. on Fuzzy Systems", volume = 4, number = 3, month = aug, year = 1996 } @INPROCEEDINGS{Ono98, author = "T. Ono-Tesfaye and C. Kern and M. R. Greenstreet", title = "Verifying a self-timed divider", pages = "146--158", booktitle= async, year = 1998 } @INPROCEEDINGS{Orava89, author = "Orava, Fredrik", title = "Verifying Safety and Deadlock Properties of Networks of Asynchronously Communicating Processes", booktitle= "Protocol Specification, Testing and Verification: Proceedings of the 9th International {IFIP} WG~6.1 Workshop", editor = "Brinksma, Ed and Scollo, Guiseppe and Vissers, Chris A.", year = 1989 } @INPROCEEDINGS{Ornstein67, author = "Severo M. Ornstein and Mishell J. Stucki and Wesley A. Clark", title = "A Functional Description of Macromodules", pages = "337--355", booktitle= "AFIPS Conference Proceedings: 1967 Spring Joint Computer Conference", address= "Atlantic City, NJ", volume = 30, publisher= ap, year = 1967 } @INPROCEEDINGS{Orton86, author = "G. Orton and L. E. Peppard and S. E. Tavares", title = "A Fast Asynchronous {RSA} Encryption Chip", pages = "439--442", booktitle= custom, publisher= icsp, month = may, year = 1986 } @ARTICLE{Ozdemir96, author = "Hakan {\"{O}}zdemir and Asim Kepkep and Banu Pamir and Yusuf Leblebici and U\u{g}ur \c{C}ilingiro\u{g}lu", title = "A capacitive threshold-logic gate", pages = "1141--1150", journal= ieeejssc, volume = 31, number = 8, month = aug, year = 1996 } @INPROCEEDINGS{Ozguner77, author = "Fusin Ozguner", title = "Design of totally self-checking asynchronous and synchronous sequential machines", key = "testing", pages = "124--129", booktitle= ftcs, month = jun, year = 1977 } @INPROCEEDINGS{Pagey92, author = "S. Pagey and G. Venkatesh and S. Sherlekar", title = "Issues in Fault Modeling and Testing of Micropipelines", key = "testing", booktitle= ats, address= "Hiroshima, Japan", month = nov, year = 1992 } @INPROCEEDINGS{Pagey95, author = "Sandeep Pagey and Ajay Khoche and Erik Brunvand", title = "{DFT} for Fast Testing of Self-timed Control Circuits", key = "testing", booktitle= ats, pages = "382--386", year = 1995 } @INPROCEEDINGS{Pagey95a, author = "Sandeep Pagey", title = "Fast functional testing of delay-insensitive circuits", key = "testing", booktitle= ats, pages = "375--381", year = 1995 } @INPROCEEDINGS{Panagiotaras97, author = "G. S. Panagiotaras and O. G. Koufopavlou", title = "Area-reduction in asynchronous circuits by signal transition graph transformations", booktitle= patmos, month = sep, year = 1997 } @INPROCEEDINGS{Pang95, author = "Y. W. Pang and C. S. Choy", title = "An asynchronous matrix multiplier", booktitle= "IEEE Region 10 International Conference on Microelectronics and VLSI. `Asia-Pacific Microelectronics 2000'", pages = "315--318", month = nov, year = 1995 } @INPROCEEDINGS{Pang96, author = "Tin-Chak Johnson-Pang and Chiu-Sing Choy and Cheong-Fat Chan and Wai-Kuen Cham", title = "Self-timed {Booth's} multiplier", booktitle= "Proceedings of 2nd International Conference on ASIC", pages = "280--283", address= "Shanghai, China", month = oct, year = 1996 } @INPROCEEDINGS{Pang97, author = "Peggy Pang and Mark Greenstreet", title = "Self-Timed Meshes are Faster Than Synchronous", pages = "30--39", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Park94, author = "Sung Bum Park and Takashi Nanya", title = "A direct verification of {CSC} property of {STG/FC}s for asynchronous circuit design", booktitle= apchdl, pages = "169--176", month = oct, year = 1994 } @INPROCEEDINGS{Park96, author = "Sung Bum Park and Takashi Nanya", title = "Automatic synthesis of speed-independent circuits from signal transition graph specifications", booktitle=ivlsi, pages = "389--392", month = jan, year = 1996 } @ARTICLE{Park97, author = "Sung Bum Park and T. Nanya", title = "Synthesis of asynchronous circuits from signal transition graph specifications", journal= ieicetis, volume = "E80-D", number = 3, pages = "326--335", month = mar, year = 1997 } @INPROCEEDINGS{Pastor93, author = "Enric Pastor and Jordi Cortadella", title = "Polynomial Algorithms for the Synthesis of Hazard-free Circuits from Signal Transition Graphs", booktitle= iccad, pages = "250--254", publisher= icsp, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-93-17.ps.Z", month = nov, year = 1993 } @TECHREPORT{Pastor93a, author = "Enric Pastor and Jordi Cortadella", title = "P-time Unique State Coding Algorithms for Signal Transition Graphs", institution= upc, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-93-13.ps.Z", year = 1993 } @INPROCEEDINGS{Pastor94, author = "Enric Pastor and Oriol Roig and Jordi Cortadella and Rosa M. Badia", title = "{Petri} net Analysis Using Boolean Manipulation", booktitle="15th International Conference on Application and Theory of Petri Nets", postscript = "ftp://gaudi.ac.upc.es/pub/archives/cad/Papers/PRCB94a.ps.gz", month = jun, year = 1994 } @TECHREPORT{Pastor94a, author = "Enric Pastor and Jordi Cortadella and Oriol Roig", title = "A new Look at the Conditions for the Synthesis of Speed-independent Circuits", institution= upc, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-94-27.ps.Z", year = 1994 } @INPROCEEDINGS{Pastor96, author = "E. Pastor and J. Cortadella and O. Roig and A. Kondratyev", title = "Structural Methods for the Synthesis of Speed-Independent Circuits", pages = "340--347", booktitle= edtc, publisher= icsp, month = mar, year = 1996 } @PHDTHESIS{Pastor96a, author = "Enric Pastor", title = "Structural Methods for the Synthesis of Asynchronous Circuits from Signal Transition Graphs", school = "Univsitat Polit{\`e}cnia de Catalunya", month = feb, year = 1996 } @ARTICLE{Pastor98, author = "Enric Pastor and Jordi Cortadella and Alex Kondratyev and Oriol Roig", title = "Structural Methods for the Synthesis of Speed-Independent Circuits", pages = "1108--1129", journal= ieeetcad, volume = 17, number = 11, month = nov, year = 1998 } @ARTICLE{Patel89, author = "V. Patel and K. Steptoe", title = "Evaluation of self-timed systems for {VLSI}", pages = "215--217", journal= el, volume = 25, number = 3, month = feb, year = 1989 } @TECHREPORT{Patra91, author = "Priyadarsan Patra", title = "From Parallel Programs to Asynchronous {VLSI}", institution = "Dept. of Computer Sciences, The Univ of Texas at Austin", note = "Appears in report collection CS-TR-91-18", year = 1991 } @TECHREPORT{Patra93, author = "Patra, Priyadarsan and Fussell, Donald S.", title = "Building-blocks for designing {DI} circuits", institution= "Dept. of Computer Sciences, The Univ of Texas at Austin", type = "Technical Report tr93-23", month = nov, year = 1993 } @INPROCEEDINGS{Patra94, author = "Priyadarsan Patra and Donald Fussel", title = "Efficient Building Blocks for Delay Insensitive Circuits", pages = "196--205", booktitle= async, month = nov, year = 1994 } @TECHREPORT{Patra94b, author = "Patra, Priyadarsan and Fussell, Donald S.", title = "Optimization of Delay-Insensitive Circuits -- a Case Study", institution= "Dept. of Computer Sciences, The Univ of Texas at Austin", note ="Technical Report", month =jul, year = 1994 } @PHDTHESIS{Patra95, author = "Priyadarsan Patra", title = "Approaches to Design of Circuits for Low-Power Computation", school = "The University of Texas at Austin", year = 1995 } @INPROCEEDINGS{Patra95a, author = "Patra, Priyadarsan and Fussell, Donald S.", title = "Power-efficient Delay-insensitive Codes for Data Transmission", booktitle= hicss, month = jan, year = 1995 } @INPROCEEDINGS{Patra95b, author = "Patra, Priyadarsan and Fussell, Donald S.", title = "Fully Asynchronous, Robust, High-throughput Arithmetic Structures", publisher= icsp, booktitle= "Proc. of Eighth International Conference on {VLSI} Design", month = jan, year = 1995 } @INPROCEEDINGS{Patra96, author = "Priyadarsan Patra and Donald S. Fussell", title = "Efficient Delay-Insensitive {RSFQ} Circuits", booktitle= iccd, month = oct, year = 1996 } @INPROCEEDINGS{Patra96a, author = "Priyadarsan Patra and Donald S. Fussell", title = "Conservative delay-insensitive circuits", pages = "248--259", booktitle= "Workshop on Physics and Computation", month = nov, year = 1996 } @INPROCEEDINGS{Patra97, author = "Priyadarsan Patra and Donald S. Fussell and Stanislav Polonsky", title = "Delay Insensitive Logic for {RSFQ} Superconductor Technology", pages = "42--53", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Paver92, author = "N. C. Paver and P. Day and S. B. Furber and J. D. Garside and J. V. Woods", title = "Register Locking in an Asynchronous Microprocessor", pages = "351--355", booktitle= iccd, publisher= icsp, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/RegIEEE.ps.Z", month = oct, year = 1992 } @PHDTHESIS{Paver94, author = "N. C. Paver", title = "The Design and Implementation of an Asynchronous Microprocessor", school = mcu, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/theses/npaver_phd.ps.Z", month = jun, year = 1994 } @INPROCEEDINGS{Paver98, author = "N. C. Paver and P. Day and C. Farnsworth and D. L. Jackson and W. A. Lien and J. Liu", title = "A low-power, low-noise configurable self-timed {DSP}", pages = "32--42", booktitle= async, year = 1998 } @INPROCEEDINGS{Payne95, author = "R. E. Payne", title = "Self-timed {FPGA} systems", editor = "W. Moore and W. Luk", booktitle= "Fifth International workshop on Field Programmable Logic and Applications", series = lncs, volume = 975, pages = "21--35", year = 1995 } @ARTICLE{Payne96, author = "R. Payne", title = "Asynchronous {FPGA} Architectures", pages = "282--286", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @ARTICLE{Pearce75, author = "R. C. Pearce and J. A. Field and W. D. Little", title = "Asynchronous Arbiter Module", pages = "931--932", journal= ieeetc, volume = 24, month = sep, year = 1975 } @ARTICLE{Pechoucek76, author = "Miroslav Pe\u{c}hou\u{c}ek", title = "Anomalous Response Times of Input Synchronizers", pages = "133--139", journal= ieeetc, volume = 25, number = 2, month = feb, year = 1976 } @TECHREPORT{Peeters90, author = "Ad Peeters", title = "Decomposition of Delay-Insensitive Circuits", institution= eut, type = csn, number = "90/04", month = apr, year = 1990 } @INPROCEEDINGS{Peeters95, author = "Ad Peeters and Kees van Berkel", title = "Single-Rail Handshake Circuits", pages = "53--62", booktitle= adm, publisher= icsp, month = may, year = 1995 } @PHDTHESIS{Peeters96, author = "Ad M. G. Peeters", title = "Single-Rail Handshake Circuits", school = "Eindhoven University of Technology", month = jun, year = 1996 } @INPROCEEDINGS{Pena96, author = "Pe{\~{n}}a, M. A. and J. Cortadella", title = "Combining Process Algebras and {Petri} Nets for the Specification and Synthesis of Asynchronous Circuits", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Pessolano97, author = "F. Pessolano and R. Mariani and R. Saletti", title = "An innovative multiple-valued asynchronous system design technique", booktitle= patmos, month = sep, year = 1997 } @INPROCEEDINGS{Pessolano98, author = "Francesco Pessolano and Mark B. Josephs", title = "A low-power, high-speed stack controller designed using asynchronous circuit techniques", pages = "387--396", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle=patmos, month = oct, year = 1998 } @MASTERSTHESIS{Petlin94, author = "O. A. Petlin", title = "Random Testing of Asynchronous {VLSI} Circuits", school = mcu, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/theses/opetlin_msc.ps.Z", year = 1994 } @ARTICLE{Petlin95, author = "O. A. Petlin and S. B. Furber and A. M. Romankevich and V. V. Groll", title = "Designing Asynchronous Sequential Circuits for Random Pattern Testability", journal= ieepcdt, key = "testing", volume = 142, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/IEE_RTSC.ps.Z", number = 4, year = 1995 } @INPROCEEDINGS{Petlin95a, author = "O. A. Petlin and S. B. Furber", title = "Scan Testing of Asynchronous Sequential Circuits", pages = "224--229", booktitle= glsvlsi, key = "testing", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/ScanTestSC.ps.Z", month = mar, year = 1995 } @INPROCEEDINGS{Petlin95b, author = "O. A. Petlin and S. B. Furber", title = "Scan Testing of Micropipelines", booktitle= vts, pages = "296--301", key = "testing", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/ScanTestM.ps.Z", month = may, year = 1995 } @TECHREPORT{Petlin95c, author = "O. A. Petlin and S. B. Furber", title = "Designing {C}-elements for Testability", institution= mcu, number = "UMCS-95-10-2", postscript = "ftp://ftp.cs.man.ac.uk/pub/TR/UMCS-95-10-2.ps.Z", year = 1995 } @INPROCEEDINGS{Petlin97, author = "O. A. Petlin and S. B. Furber", title = "Built-In Self-Testing of Micropipelines", pages = "22--29", booktitle= async, key = "testing", publisher= icsp, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/BIST_mpipe.ieee.ps.gz", month = apr, year = 1997 } @INPROCEEDINGS{Piestrak94, author = "S. J. Piestrak", title = "Design of Totally Self-Checking Code Disjoint Inverter-Free {PLA} for Separable Unordered Codes", key = "testing", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @INPROCEEDINGS{Piestrak95, author = "Stanislaw J. Piestrak and Takashi Nanya", title = "Towards totally self-checking quasi-delay-insensitive systems", booktitle= ftcs, pages = "228--237", month = jun, year = 1995 } @INPROCEEDINGS{Piestrak98, author = "S. J. Piestrak", title = "Membership test logic for delay-insensitive codes", pages = "194--204", booktitle= async, year = 1998 } @INPROCEEDINGS{Piguet90, author = "Christian Piguet", title = "Logic Synthesis of Asynchronous Circuits", booktitle= custom, pages = "26.6.1--62.6.4", year = 1990 } @ARTICLE{Piguet91, author = "Christian Piguet", title = "Logic Synthesis of Race-Free Asynchronous {CMOS} Circuits", pages = "371--380", journal= ieeejssc, volume = 26, number = 3, month = mar, year = 1991 } @INPROCEEDINGS{Piguet97a, author = "C. Piguet", title = "Low-power and low-voltage {CMOS} digital design", booktitle="Microelectronic-Engineering; Low-Power, Low-Voltage Integrated Circuits: Technology and Design", pages = "179--208", volume = 39, month = jun, year = 1997 } @ARTICLE{Piguet97b, author = "C. Piguet", title = "Synthesis of asynchronous {CMOS} circuits with negative gates", journal= "Journal of Solid State Devices and Circuits (Brazil)", pages = "12--20", volume = 5, number = 2, month = jul, year = 1997 } @ARTICLE{Piguet97c, author = "C. Piguet and V. von Kaenel", title = "Logic Synthesis of a {PLL} phase frequency detector", pages = "381--385", journal= ieepcdt, volume = 144, number = 6, month = nov, year = 1997 } @ARTICLE{Piguet98, author = "C. Piguet", title = "Supplementary condition for {STG}-designed speed-independent circuits", journal= el, volume = 34, number = 7, pages = "620--622", year = 1998 } @INPROCEEDINGS{Piguet98a, author = "C. Piguet and J. Zahnd", title = "{STG}-based Synthesis of Speed-Independent {CMOS} Cells", booktitle= "Workshop on Exploitation of STG-Based Design Technology", month = jul, year = 1998 } @INPROCEEDINGS{Piguet98b, author = "C. Piguet and J. Zahnd", title = "Design of Speed-Independent {CMOS} Cells from Signal Transition Graphs", pages = "357--366", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle=patmos, month = oct, year = 1998 } @INPROCEEDINGS{Piguet98c, author = "C. Piguet and J. Zahnd", title = "Signal-Transition Graphs-based Design of Speed-Independent {CMOS} Circuits", pages = "432--435", booktitle=esscirc, month = sep, year = 1998 } @INPROCEEDINGS{Plana96, author = "L. Plana and S. Nowick", title = "Concurrency-Oriented Optimization for Low-Power Asynchronous Systems", pages = "151--156", booktitle=islped, month = aug, year = 1996 } @ARTICLE{Plana98, author = "Luis A. Plana and Steven M. Nowick", title = "Architectural Optimization for Low-Power Nonpipelined Asynchronous Systems", pages = "56--65", journal= ieeevlsi, volume = 6, number = 1, month = mar, year = 1998 } @INPROCEEDINGS{Plana98a, author = "Luis A. Plana and Stephen H. Unger", title = "Pulse-Mode Macromodular Systems", pages = "348--353", booktitle= iccd, month = oct, year = 1998 } @PHDTHESIS{Plana98b, author = "Luis Angel Plana", title = "Contributions to the Design of Asynchronous Macromodular Systems", school = "Department of Computer Science, Columbia University", note = "Technical report CUCS-001-99", postscript= "http://www.cs.columbia.edu/~library/TR-repository/reports/reports-1999/cucs-001-99.ps.gz", year = 1998 } @TECHREPORT{Plosila96, author = "R. Ruksenas and K. Sere", title = "Handshaking Expansion as Action System Refinement", institution= "Turku Centre for Computer Science", number = "TR55", html = "http://www.tucs.abo.fi/publications/techreports/TR55.html", month = oct, year = 1996 } @TECHREPORT{Plosila96a, author = "J. Plosila and R. Ruksenas and K. Sere", title = "Delay-Insensitive Circuits and Action Systems", institution= "Turku Centre for Computer Science", number = "TR60", html = "http://www.tucs.abo.fi/publications/techreports/TR60.html", month = nov, year = 1996 } @INPROCEEDINGS{Plosila97, author = "J. Plosila and K. Sere", title = "Action Systems in Pipelined Processor Design", pages = "156--166", booktitle= async, publisher= icsp, month = apr, year = 1997 } @TECHREPORT{Plosila97a, author = "J. Plosila and R. Ruksenas and K. Sere", title = "Action Systems Synthesis of DI Circuits", institution= "Turku Centre for Computer Science", number = "TR149", html = "http://www.tucs.abo.fi/publications/techreports/TR149.html", month = dec, year = 1997 } @ARTICLE{Plummer72, author = "W. W. Plummer", title = "Asynchronous Arbiters", pages = "37--42", journal= ieeetc, volume = 21, number = 1, month = jan, year = 1972 } @INPROCEEDINGS{Polonsky96, author = "S. Polonsky", title = "{RSFQ}: What we know and what we don't", booktitle= iccd, month = oct, year = 1996 } @ARTICLE{Poole94, author = "N. R. Poole", title = "Self-timed logic circuits", journal= "Electronics and Communication Engineering Journal", volume = 6, number = 6, pages = "261--270", year = 1994 } @ARTICLE{Poole95, author = "N. R. Poole", title = "Auxiliary functions for completion detection in self-timed systems", journal= el, volume = 31, number = 21, pages = "1805--1806", month = oct, year = 1995 } @ARTICLE{Portmann95, author = "Clemenz L. Portmann and Teresa H. Y. Meng", title = "Metastability in {CMOS} Library Elements in Reduced Supply and Technology Scaled Applications", journal= ieeejssc, volume = 30, number = 1, pages = "39--46", month = jan, year = 1995 } @INPROCEEDINGS{Privat95, author = "G. Privat and F. Robin and M. Renaudin and B. El Hassan", title = "A fine-grain asynchronous {VLSI} cellular array processor architecture", booktitle= iscas, year = 1995 } @TECHREPORT{Probst88, author = "Probst, David K. and Li, Hon F.", title = "Abstract Specification, Composition and Proof of Correctness of Delay-Insensitive Circuits and Systems", institution= "Dept. of C.S., Concordia Univ., Montreal, Canada", number = "CS-VLSI-88-2", month = apr, year = 1988 } @INPROCEEDINGS{Probst89, author = "David K. Probst and Hon F. Li", title = "Partial-order model checking of delay-insensitive systems", pages = "73--80", editor = "R. Hobson", booktitle= "Proceedings of 1989 Canadian Conference on {VLSI}", month = oct, year = 1989 } @INPROCEEDINGS{Probst90, author = "David K. Probst and Hon F. Li", title = "Using partial-order semantics to avoid the state explosion problem in asynchronous systems", pages = "146--155", editor = "Robert P. Kurshan and Edmund M. Clarke", booktitle= cav, series = lncs, volume = 531, publisher= springer, year = 1990 } @INPROCEEDINGS{Probst91, author = "David K. Probst and Hon F. Li", title = "Partial-order model checking: a guide for the perplexed", pages = "322--331", editor = "K. G. Larsen and A. Skou", booktitle= cav, series = lncs, publisher= springer, volume = 575, year = 1991 } @ARTICLE{Pucknell93, author = "Douglas A. Pucknell", title = "Event-Driven Logic ({EDL}) approach to digital system representation and related design processes", journal= ieepcdt, volume = 140, number = 2, month = mar, year = 1993 } @INPROCEEDINGS{Puri93, author = "R. Puri and J. Gu", title = "Signal transition graph constraints for speed-independent circuit synthesis", pages = "1686--1689", booktitle= iscas, publisher= icsp, volume = 3, year = 1993 } @ARTICLE{Puri93a, author = "Ruchir Puri and Jun Gu", title = "Asynchronous circuit synthesis; persistency and complete state coding constraints in signal transition graphs", pages = "933--940", journal= ije, volume = 75, number = 5, year = 1993 } @INPROCEEDINGS{Puri94, author = "Ruchir Puri and Jun Gu", title = "A Divide-and-Conquer Approach for Asynchronous Interface Synthesis", pages = "118--125", booktitle= ishls, publisher= icsp, month = may, year = 1994 } @INPROCEEDINGS{Puri94a, author = "Ruchir Puri and Jun Gu", title = "A Modular Partitioning Approach for Asynchronous Circuit Synthesis", pages = "63--69", booktitle= dac, month = jun, year = 1994 } @INPROCEEDINGS{Puri94b, author = "Ruchir Puri and Jun Gu", title = "Area efficient synthesis of asynchronous interface circuits", booktitle= iccd, publisher= icsp, month = oct, year = 1994 } @INPROCEEDINGS{Purushothaman83, author = "S. Purushothaman and P. A. Subrahmanyam", title = "An Algebraic Basis for Specifying and Reasoning about Protocols for Designing Self Timed Circuits", booktitle= "{VLSI} 83: {VLSI} Design of Digital Systems", publisher= esp, editor = "F. Anceau and E. J. Aas", organization= "IFIP", pages = "133--144", month = aug, year = 1983 } @ARTICLE{Putzolu71, author = "Gianfranco R. Putzolu", title = "A heuristic algorithm for the testing of asynchronous circuits", key = "testing", pages = "639--647", journal= ieeetc, volume = 20, number = 6, month = jun, year = 1970 } @ARTICLE{Ramachandran96, author = "Ravi Ramachandran and Shih-Lien Lu", title = "Efficient arithmetic using self-timing", pages = "445--454", journal= ieeevlsi, volume = 4, number = 4, month = dec, year = 1996 } @TECHREPORT{Ramchandani74, author = "C. Ramchandani", title = "Analysis of asynchronous concurrent systems by timed {Petri} nets", institution= "Massachusetts Inst. of Tech.", number = "Project {MAC} Tech. Rep. 120", month = feb, year = 1974 } @INPROCEEDINGS{Rana86, author = "Deepak Rana and Steven P. Levitan and David A. Carlson and Charles E. Hutchinson", title = "A testable asynchronous systolic array implementation of an {IIR} filter", key = "testing", pages = "90--93", booktitle= custom, publisher= icsp, month = may, year = 1986 } @INPROCEEDINGS{Rao96, author = "V. M. Rao and B. Mowrouzian", title = "Design and implementation of asynchronous parallel multiply-accumulate arithmetic architectures", booktitle= midwest, pages = "761--764", year = 1996 } @PHDTHESIS{Rebello96, author = "V. E. F. Rebello", title = "On the Distribution of Control in Asynchronous Processor Architectures", school = "Department of Computer Science, The University of Edinburgh, UK", postscript = "http://www.dcs.ed.ac.uk/home/vefr/postscript/thesis.ps.gz", year = 1996 } @INCOLLECTION{Rem85, author = "Martin Rem", title = "Concurrent Computations and {VLSI} Circuits", pages = "399--437", editor = "M. Broy", booktitle= "Control Flow and Data Flow: Concepts of Distributed Programming", series = "NATO ASI Series", volume = "F14", publisher= springer, year = 1985 } @INPROCEEDINGS{Rem87, author = "Rem, Martin", title = "Trace Theory and Systolic Computations", booktitle= "{PARLE}: Parallel Architectures and Languages Europe, Vol.~I", editor = "de Bakker, J. W. and Nijman, A. J. and Treleaven, P. C.", series = lncs, volume = 258, pages = "14--33", publisher= springer, year = 1987 } @INPROCEEDINGS{Rem91, author = "Rem, Martin", title = "The Nature of Delay-Insensitive Computing", pages = "105--122", booktitle="{IV} Higher Order Workshop, Banff 1990", editor = "Birtwistle, Graham", publisher= springer, year = 1991 } @INPROCEEDINGS{Renaudin94, author = "Marc Renaudin and Bachar El Hassan", title = "The design of fast asynchronous adder structures and their implementation using {DCVS} logic", booktitle= iscas, volume = 4, pages = "291--294", year = 1994 } @ARTICLE{Renaudin96, author = "Marc Renaudin and Bachar El Hassan and Alain Guyot", title = "New Asynchronous Pipeline Scheme: Application to the Design of a Self-Timed Ring Divider", pages = "1001--1013", journal= ieeejssc, volume = 31, number = 7, month = jul, year = 1996 } @INPROCEEDINGS{Renaudin98, author = "M. Renaudin and P. Vivet and F. Robin", title = "{ASPRO-216}: A standard-cell {QDI} 16-bit {RISC} asynchronous microprocessor", pages = "22--31", booktitle= async, year = 1998 } @INPROCEEDINGS{Renaudin99, author = "M. Renaudin and P. Vivet and F. Robin", title = "A Design Framework for Asynchronous/Synchronous Circuits Based on {CHP} to {HDL} Translation", pages = "135--144", booktitle= async, month = apr, year = 1999 } @ARTICLE{Rey74, author = "C. A. Rey and J. Vaucher", title = "Self-synchronized Asynchronous Sequential Machines", journal= ieeetc, pages = "1306--1311", volume = 23, number = 12, month = dec, year = 1974 } @INPROCEEDINGS{Ribas95, author = "R. Perez-Ribas and A. Guyot", title = "{DCFL}- and {DPTL}-based approaches to self-timed {GaAs} circuits", booktitle= esscirc, pages = "186--189", month = sep, year = 1995 } @INPROCEEDINGS{Ribas96, author = "R. Perez-Ribas and A. Bernal and A. Guyot", title = "A low-power differential cross-coupled {FET} logic for {GaAs} asynchronous design", booktitle= "4th European Galium Arsenide and Related III-V Compounds Application Symposium (GAAS'96)", pages = "1--4", month = jun, year = 1996 } @INPROCEEDINGS{Ribas96a, author = "R. Perez-Ribas and R. Kanan and A. Guyot", title = "Differential-type structures and {C}-elements for {GaAs MESFET} asynchronous circuits", booktitle= "11th Congress of the Brazilian Microelectronic Society (XI Submicro)", month = aug, year = 1996 } @INPROCEEDINGS{Ribas96b, author = "R. Perez-Ribas and A. Guyot", title = "Can asynchronous design reduce power dissipation in {GaAs ICs}", booktitle= patmos, month = sep, year = 1996 } @TECHREPORT{Richardson94, author = "William F. Richardson and Erik Brunvand", title = "The {NSR} Processor Prototype", institution=utahcs, postscript = "ftp://ftp.cs.utah.edu/techreports/1992/UUCS-92-029.ps.Z", month = aug, year = 1994 } @INPROCEEDINGS{Richardson95, author = "W. F. Richardson and E. Brunvand", title = "Precise Exception Handling for a Self-Timed Processor", pages = "32--37", booktitle= iccd, publisher= icsp, year = 1995 } @INPROCEEDINGS{Richardson96, author = "W. F. Richardson and E. Brunvand", title = "An Architecture for a Self-Timed Decoupled Computer", booktitle= async, publisher= icsp, month = mar, year = 1996 } @PHDTHESIS{Richardson96a, author = "W. F. Richardson", title = "Architectural considerations in a self-timed processor design", school = utahcs, year = 1996 } @ARTICLE{Richardson96b, author = "W. F. Richardson and E. Brunvand", title = "Architectural Considerations for a Self-Timed Decoupled Processor", pages = "251--257", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @ARTICLE{Robin96, author = "F. Robin and M. Renaudin and G. Privat and N. Van Den Bossche", title = "Functionally asynchronous array processor for morphological filtering of greyscale images", pages = "273--281", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @INPROCEEDINGS{Rogenmoser94, author = "R. Rosenmoser and Q. Huang and F. Piazza", title = "1.57 {GHz} asynchronous and 1.4 {GHz} dual-modulus 1.2 {$\mu$}m {CMOS} prescalers", booktitle=custom, pages = "387--390", year = 1994 } @TECHREPORT{Roig94, author = "Oriol Roig and Jordi Cortadella and Enric Pastor", title = "Conservative Symbolic Model-Checking of {Petri} Nets for Speed-independent Circuit Verification", postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-94-14.ps.Z", institution= upc, year = 1994 } @TECHREPORT{Roig94a, author = "Oriol Roig and Jordi Cortadella and Enric Pastor", title = "Symbolic model checking of {Petri} nets for the verification of speed-independent circuits", postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-94-26.ps.Z", institution= upc, year = 1994 } @INPROCEEDINGS{Roig95, author = "Oriol Roig and Jordi Cortadella and Enric Pastor", title = "Hierarchical Gate-Level Verification of Speed-Independent Circuits", pages = "129--137", booktitle= adm, publisher= icsp, postscript = "ftp://ftp.ac.upc.es/pub/archives/cad/Papers/oriol95bC.ps.gz", url = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1995/UPC-DAC-95-01.ps.Z", month = may, year = 1995 } @INPROCEEDINGS{Roig95a, author = "Oriol Roig and Jordi Cortadella and Enric Pastor", title = "Verification of asynchronous circuits by {BDD}-based model checking of {Petri} nets", pages = "374--391", booktitle= "16th International Conference on the Application and Theory of {Petri} Nets", volume = 815, series = lncs, postscript = "ftp://ftp.ac.upc.es/pub/archives/cad/Papers/oriol95aC.ps.gz", month = jun, year = 1995 } @PHDTHESIS{Roig97, author = "Oriol Roig", title = "Formal Verification and Testing of Asynchronous Circuits", school = "Univsitat Polit{\`e}cnia de Catalunya", month = may, year = 1997 } @INPROCEEDINGS{Roig97a, author = "Oriol Roig and Jordi Cortadella and Marco A. Pe\~{n}a and Enric Pastor", title = "Automatic generation of synchronous test patterns for asynchronous circuits", booktitle= dac, pages = "620--625", html = "http://www.ac.upc.es/homes/oriol/Publications/DAC97_38_3.html", month = jun, year = 1997 } @INPROCEEDINGS{Roine94, author = "Per Torstein R{\o}ine", title = "Building Fast Bundled Data Circuits with a Specialized Standard Cell Library", pages = "134--143", booktitle= async, month = nov, year = 1994 } @MASTERSTHESIS{Roine94a, author = "Per Torstein R{\o}ine", title = "Asynchronous {FIFO} Buffer for Multicomputer Applications", school = "Department of Informatics, University of Oslo", year = 1994 } @INPROCEEDINGS{Roine96, author = "Per Torstein R{\o}ine", title = "A System for Asynchronous High-speed Chip to Chip Communication", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Roine98, author = "Per Torstein R{\o}ine", title = "An asynchronous {PRBS} error checker for testing high-speed self-clocked serial links", pages = "133--141", booktitle= async, year = 1998 } @INPROCEEDINGS{Roncken93, author = "Marly Roncken and Ronald Saeijs", title = "Linear Test Times for Delay-Insensitive Circuits: a Compilation Strategy", key = "testing", pages = "13--27", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @INPROCEEDINGS{Roncken94, author = "Marly Roncken", title = "Partial Scan Test for Asynchronous Circuits Illustrated on a {DCC} Error Corrector", key = "testing", pages = "247--256", booktitle= async, month = nov, year = 1994 } @INPROCEEDINGS{Roncken96, author = "Marly Roncken and Erik Bruls", title = "Test Quality of Asynchronous Circuits: A Defect-Oriented Evaluation", pages = "205--214", key = "testing", booktitle= itc, month = oct, year = 1996 } @INPROCEEDINGS{Roncken96a, author = "Marly Roncken and Emile Aarts and Wim Verhaegh", title = "Optimal Scan for Pipelined Testing: An Asynchronous Foundation", pages = "215--224", key = "testing", booktitle= itc, month = oct, year = 1996 } @ARTICLE{Roncken99, author = "Marly Roncken", title = "Defect-Oriented Testability for Asynchronous {IC's}", pages = "363--375", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @ARTICLE{Rosenberger88, author = "Rosenberger, Fred U. and Molnar, Charles E. and Chaney, Thomas J. and Fang, Ting-Pien", title = "{Q}-Modules: Internally Clocked Delay-Insensitive Modules", journal= ieeetc, volume = "C-37", number = 9, pages = "1005--1018", month = sep, year = 1988 } @ARTICLE{Rosenberger92, author = "Fred U. Rosenberger and Charles E. Molnar", title = "Comments on `Metastability of {CMOS} Latch/Flip-Flop'", pages = "128--130", note = "Reply by Robert W. Dutton pages 131--132 of same issue", journal= ieeejssc, volume = 27, number = 1, month = jan, year = 1992 } @INPROCEEDINGS{Rosenblum85, author = "L. Y. Rosenblum and A. V. Yakovlev", title = "Signal graphs: from self-timed to timed ones", booktitle= "Proceedings of International Workshop on Timed {P}etri Nets", address= "Torino, Italy", publisher= icsp, pages = "199--207", month = jul, year = 1985 } @INPROCEEDINGS{Rotem99, author = "Shai Rotem and Ken Stevens and Ran Ginosar and Peter Beerel and Chris Myers and Kenneth Yun and Rakefet Kol and Charles Dike and Marly Roncken and Boris Agapiev", title = "{RAPPID}: An Asynchronous Instruction Length Decoder", pages = "60--70", booktitle= async, pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-99.pdf", month = apr, year = 1999 } @ARTICLE{Ruiz96, author = "G. A. Ruiz", title = "“Compact Four Bit Carry Look-Ahead {CMOS} Adder in Multi-Output {DCVS} Logic", journal= el, volume = 32, number = 17, pages = "1556--1557", year = 1996 } @ARTICLE{Ruiz98, author = "G. A. Ruiz", title = "Evaluation of three 32-bit {CMOS} Adders in {DCVS} logic for Self-Timed Circuits", journal= ieeejssc, volume = 33, number = 4, pages = "604--613", month = apr, year = 1998 } @INPROCEEDINGS{Rutten96, author = "Jeroen Rutten", title = "Asynchronous Burst Mode Finite State Machines", booktitle= prorisc, year = 1996 } @INPROCEEDINGS{Rutten97, author = "J. W. J. M. Rutten and M. R. C. M. Berkelaar", title = "Improved State Assignments for Burst mode finite state machines", pages = "228--239", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Rutten97a, author = "J. W. J. M. Rutten and M. A. J. Kolsteren", title = "A divide and conquer strategy for hazard free 2-level logic synthesis", booktitle=iwls, year = 1997 } @INPROCEEDINGS{Rutten98, author = "Jeroen Rutten and Michel Berkelaar", title = "Efficient Exact and Heuristic Minimization of Hazard-Free Logic", pages = "152--159", booktitle= iccd, month = oct, year = 1998 } @INPROCEEDINGS{Rutten98a, author = "J. W. J. M. and M. R. C. M. Berkelaar and C. A. J. van Eijk and M. A. J. Kolsteren", title = "An Efficient Divide and Conquer Algorithm for Exact Hazard Free Logic Minimization", pages = "749--754", booktitle=date, month = apr, year = 1998 } @INPROCEEDINGS{Rutten98b, author = "Jeroen Rutten and Michel Berkelaar", title = "Towards Muti-level Synthesis for Asynchronous Logic", pages = "77--82", booktitle=iwls, month = jun, year = 1998 } @PHDTHESIS{Rutten2000, author = "Jeroen Rutten", title = "Synthesis of Asynchronous Burst-Mode Finite State Machines", school = "Eindhoven University of Technology", month = apr, year = 2000 } @INPROCEEDINGS{Saarepera99, author = "M{\"a}art Saarepera and Tomohiro Yoneda", title = "A Self-Timed Implementation of Boolean Functions", pages = "243--250", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Saeijs88, author = "Ronald W. J. J. Saeijs and C. H. (Kees) van Berkel", title = "The Design of the {VLSI} Image Generator {ZaP}", booktitle= iccd, publisher= icsp, pages = "163--166", year = 1988 } @INPROCEEDINGS{Sahni98, author = "Mohit Sahni and Takashi Nanya", title = "On the {CSC} Property of Signal Transition Graph Specifications for Asynchronous Circuit Design", booktitle=aspdac, pages = "183--189", month = feb, year = 1998 } @INPROCEEDINGS{Saito99, author = "Hiroshi Saito and Alex Kondratyev and Jordi Cortadella and Luciano Lavagno and Alexander Yakovlev", title = "What is the cost of Delay Insensitivity?", booktitle=hwpn, pages = "169--189", month = jun, year = 1999 } @INPROCEEDINGS{Saito99a, author = "Hiroshi Saito and Alex Kondratyev and Jordi Cortadella and Luciano Lavagno and Alexander Yakovlev", title = "What is the cost of Delay Insensitivity?", booktitle=iccad, pages = "316--323", month = nov, year = 1999 } @ARTICLE{Sakurai88, author = "T. Sakurai", title = "Optimization of {CMOS} Arbiter and Synchronizer Circuits with Submicron {MOSFET}s", pages = "901--906", journal= ieeejssc, volume = 23, number = 4, month = aug, year = 1988 } @INPROCEEDINGS{Salomon93, author = "O. Salomon and H. Klar", title = "Self-Timed Fully Pipelined Multipliers", pages = "45--55", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @INPROCEEDINGS{Salomon93a, author = "O. Salomon and H. Klar", title = "Standard Cell Design for Testable Self-Timed Circuits", booktitle= esscirc, publisher= "Editions Fronti\`eres", pages = "190--193", month = sep, year = 1993 } @TECHREPORT{Sanchez94, author = "Fermin Sanchez and Jordi Cortadella", title = "{UNRET}: A transformation-based technique for software pipelining with resource constraints", institution= upc, postscript = "ftp://gaudi.ac.upc.es/pub/reports/DAC/1994/UPC-DAC-94-11.ps.Z", year = 1994 } @INPROCEEDINGS{Sanchez95, author = "F. Sanchez and J. Cortadella", title = "Time Constrained Loop Pipelining", booktitle = iccad, pages = "592--596", postscript = "ftp://gaudi.ac.upc.es/pub/archives/cad/Papers/FC95.ps.gz", month = nov, year = 1995 } @TECHREPORT{Sanchez95a, author = "Fermin Sanchez and Jordi Cortadella", title = "Register Optimization for Maximum Throughput Loop Pipelining", institution = upc, postscript = "ftp://gaudi.ac.upc.es/pub/archives/cad/Reports/UPC-DAC-95-10.ps.gz", year = 1995 } @ARTICLE{Santoro89, author = "Mark Santoro and Mark A. Horowitz", title = "{SPIM}: A Pipelined 64x64-bit Iterative Multiplier", pages = "487--493", journal= ieeejssc, volume = 24, number = 2, month = apr, year = 1989 } @ARTICLE{Sato96, author = "H. Sato", title = "On multiple-valued logical functions realized by asynchronous sequential circuits", journal= ieicetf, volume = "E79-A", number = 4, pages = "513--519", month = apr, year = 1996 } @ARTICLE{Saucier67, author = "G. Saucier", title = "Encoding of asynchronous sequential networks", journal= ieeetec, volume = "EC-16", pages = "365--369", month = jun, year = 1967 } @ARTICLE{Saucier72, author = "G. Saucier", title = "State assignment of asynchronous sequential machines using graph techniques", journal= ieeetc, volume = 21, pages = "282--288", month = mar, year = 1972 } @PHDTHESIS{Sawasaki94, author = "M. H. Sawasaki", title = "General Hazard-Free Synthesis of Asynchronous Circuits", school = "Katholieke Universiteit Leuven", month = feb, year = 1994 } @INPROCEEDINGS{Sawasaki95, author = "Milton Sawasaki and Chantal Ykman-Couvreur and Bill Lin", title = "Externally Hazard-Free Implementations of Asynchronous Circuits", booktitle= dac, month = jun, year = 1995 } @ARTICLE{Sawasaki97, author = "Milton H. Sawasaki and Chantal Ykman-Couvreur and Bill Lin", title = "Externally Hazard-Free Implementations of Asynchronous Control Circuits", pages = "835--848", journal= ieeetcad, volume = 16, number = 8, month = aug, year = 1997 } @ARTICLE{Sawi74, author = "D. H. Sawin and G. K. Maki", title = "Asynchronous Sequential Machines Designed for Fault Detection", key = "testing", journal= ieeetc, volume = "C-23", number = 3, pages = "239-249", month = mar, year = 1974 } @INPROCEEDINGS{Saxena93, author = "J. Saxena and D. K. Pradhan", title = "Design for testability of asynchronous sequential circuits", pages = "518--522", booktitle= iccd, publisher= icsp, month = oct, key = "testing", year = 1993 } @INPROCEEDINGS{Schoeber96, author = "Volker Sch{\"o}ber and Thomas Kiel", title = "An Asynchronous Scan Path Concept for Micropipelines using the Bundled Data Convention", booktitle= itc, html = "http://www.tet.uni-hannover.de/papers/1996/96vsch_2.htm", month = oct, year = 1996 } @MASTERSTHESIS{Schols85, author = "Schols, Huub M. J. L.", title = "A Formalisation of the Foam Rubber Wrapper Principle", school = eut, year = 1985 } @PHDTHESIS{Schols92, author = "Huub Schols", title = "Delay-insensitive Communication", school = eut, month = dec, year = 1992 } @INPROCEEDINGS{Schumann98, author = "Thomas Schumann and Ulrich Jagdhold and Heinrich Klar", title = "A Power Management for Self-Timed {CMOS} Circuits ({FLAGMAN}) and Investigations on the Impact of Technology Scaling", booktitle="Power Driven Microarchitecture Workshop", month = jun, year = 1998 } @TECHREPORT{Seger87, author = "Seger, C.-J.", title = "On the Existence of Speed-Independent Circuits", type = "Research Report", number = "CS-87-63", institution= "Computer Science Dept., Univ. of Waterloo, Canada", month = nov, year = 1987 } @TECHREPORT{Seger88, author = "Seger, C.-J.", title = "Models and Algorithms for Race Analysis in Asynchronous Circuits", type = "Research Report (PhD thesis)", number = "CS-88-22", institution= "Computer Science Dept., Univ. of Waterloo, Canada", month = may, year = 1988 } @ARTICLE{Seger88a, author = "C-J. Seger and J.A. Brzozowski", title = "An Optimistic Ternary Simulation of Gate Races", journal= tcs, volume = 61, number = 1, pages = "49--66", month = oct, year = 1988 } @ARTICLE{Seger91, author = "C-J. Seger", title = "On the Existence of Speed-Independent Circuits", pages = "343--364", journal= tcs, volume = 86, number = 2, year = 1991 } @ARTICLE{Seger94, author = "C-J. Seger and J.A. Brzozowski", title = "Generalized Ternary Simulation of Sequential Circuits", journal= "Informatique Theorique et Applications", volume = 28, number = "3-4", pages = "159--186", year = 1994 } @MASTERSTHESIS{Segers93, author = "J. P. L. Segers", title = "The Design and Analysis of Asynchronous Up-Down Counters", school = eut, month = jun, year = 1993 } @ARTICLE{Segers96, author = "John Segers and Jo C. Ebergen", title = "Design and Analysis of Up-Down Counters", journal= "Science of Computer Programming", pages = "185--204", volume = 27, number = 2, year = 1996 } @MISC{Seitz70, author = "Seitz, Charles L.", title = "Asynchronous Machines Exhibiting Concurrency", note = "Record of the Project MAC Concurrent Parallel Computation", year = 1970 } @INPROCEEDINGS{Seitz79, author = "Seitz, Charles L.", title = "Self-Timed {VLSI} Systems", booktitle= "Proceedings of the 1st {C}altech Conference on Very Large Scale Integration", editor = "Seitz, Charles L.", publisher= "Caltech C.S. Dept.", address= "Pasadena, CA", pages = "345--355", month = jan, year = 1979 } @INCOLLECTION{Seitz80, author = "Seitz, Charles L.", title = "System Timing", chapter= 7, editor = "Mead, Carver A. and Conway, Lynn A.", booktitle= "Introduction to {VLSI} Systems", publisher= aw, year = 1980 } @ARTICLE{Seitz80a, author = "Seitz, Charles L.", title = "Ideas about Arbiters", journal= "Lambda", volume = 1, number = "1, First Quarter", pages = "10--14", year = 1980 } @INPROCEEDINGS{Seitz93, author = "Charles L. Seitz and Wen-King Su", title = "A Family of Routing and Communication Chips Based on the Mosaic", booktitle= "Proc. of 1993 Symposium on Research on Integrated Systems", pages = "320--337", publisher= mit, month = jan, year = 1993 } @INPROCEEDINGS{Seizovic94, author = "Jakov N. Seizovic", title = "Pipeline Synchronization", pages = "87--96", booktitle= async, month = nov, postscript= "http://www.myri.com/research/publications/pipeline.ps", year = 1994 } @INPROCEEDINGS{Selinger97, author = "Peter Selinger", title = "First-Order Axioms for Asynchrony", pages = "376--390", editor = "Antoni Mazurkiewicz and J{\'o}zef Winkowski", booktitle= "CONCUR97: Concurrency Theory", series = lncs, volume = 1243, publisher= springer, year = 1997 } @TECHREPORT{Semenov94, author = "A. Semenov and A. Yakovlev", title = "Event-based framework for verification of of high-level models of asynchronous circuits", number = "TR 487", institution="Dept. of Computing Science, Univ. of Newcastle upon Tyne", year = 1994 } @INPROCEEDINGS{Semenov95, author = "A. Semenov and A. Yakovlev", title = "Combining partial orders and symbolic traversal for efficient verification of asynchronous circuits", booktitle= apchdl, pages = "567--573", year = 1995 } @INPROCEEDINGS{Semenov96, author = "Alexei Semenov and Alex Yakovlev", title = "Verification of Asynchronous Circuits using Time {Petri}-Net Unfolding", pages = "59--63", booktitle= dac, year = 1996 } @INPROCEEDINGS{Semenov97, author = "Alex Semenov and Alexandre Yakovlev and Enric Pastor and Marco Pe\~{n}a and Jordi Cortadella and Luciano Lavagno", title = "Partial order based approach to synthesis of speed-independent circuits", pages = "254--265", booktitle= async, publisher= icsp, postscript = "ftp://ftp.ac.upc.es/pub/archives/cad/Papers/semenov97aC.ps.gz", month = apr, year = 1997 } @INPROCEEDINGS{Semenov97a, author = "Alex Semenov and Alexandre Yakovlev and Enric Pastor and Marco Pe\~{n}a and Jordi Cortadella", title = "Synthesis of Speed-Independent Circuits from {STG}-unfolding Segment", pages = "16--21", postscript = "ftp://ftp.ac.upc.es/pub/archives/cad/Papers/semenov97bC.ps.gz", booktitle= dac, year = 1997 } @ARTICLE{Semenov97b, author = "A. Semenov and A. M. Koelmans and L. Lloyd and A. Yakovlev", title = "Designing an asynchronous processor using {Petri} nets", journal= "IEEE Micro", volume = 17, number = 2, pages = "54--64", year = 1997 } @TECHREPORT{Sentovich92, author = "E. M. Sentovich and K. J. Singh and L. Lavagno and C. Moon and R. Murgai and A. Saldanha and H. Savoj and P. R. Stephan and R. K. Brayton and A. Sangiovanni-Vincentelli", title = "{SIS}: A system for sequential circuit synthesis", institution= ucb, postscript = "http://polimage.polito.it/~lavagno/publications/tr/UCB-ERL-92-41.ps.gz", month = may, year = 1992 } @INPROCEEDINGS{Shams96, author = "M. Shams and J. Ebergen and M. Elmasry", title = "A Comparison of {CMOS} Implementations of an Asynchronous Circuits Primitive: the {C-element}", pages = "93--96", booktitle=islped, month = aug, year = 1996 } @INPROCEEDINGS{Shams97, author = "Maitham Shams and Jo C. Ebergen and Mohamed I. Elmasry", title = "Optimizing {CMOS} Implementations of the {C-element}", pages = "700--705", booktitle= iccd, month = oct, year = 1997 } @ARTICLE{Shams98, author = "Maitham Shams and Jo C. Ebergen and Mohamed I. Elmasry", title = "Modeling and Comparing {CMOS} Implementations of the {C}-Element", journal= ieeevlsi, pages = "563--567", volume = 6, number = 4, month = dec, year = 1998 } @INPROCEEDINGS{Sheth93, author = "Devang G. Sheth and Sheran Alles and Syed M. Mahmud", title = "A single-chip hig-speed {M-to-B} Arbiter for multiple bus multiprocessor systems", booktitle= midwest, pages = "284--287", year = 1993 } @PHDTHESIS{Shieh93, author = "M.-D. Shieh", title = "Design and Synthesis of Testable Asynchronous Sequential Logic Circuits", school = "Dept. of Electrical Engineering, Michigan State University", key = "testing", month = may, year = 1993 } @ARTICLE{Shieh93a, author = "M.-D. Shieh and C.-L. Wey and P. D. Fisher", title = "Fault Effects in Asynchronous Sequential Logic Circuits", journal= ieepcdt, volume = 140, number = 6, pages = "327--332", key = "testing", month = nov, year = 1993 } @INPROCEEDINGS{Shieh93b, author = "Ming-Der Shieh and Chin-Long Wey and P. David Fisher", title = "A Scan Design for Asynchronous Sequential Logic Circuits Using {SR}-Latches", booktitle= midwest, pages = "1300--1303", key = "testing", year = 1993 } @INPROCEEDINGS{Shieh97, author = "Ming-Der Shieh and Wann-Shyang Ju and Ming-Hwa Sheu", title = "Low-power state assignment for asynchronous finite state machines", booktitle= midwest, pages = "1325--1328", volume = 3, year = 1997 } @INPROCEEDINGS{Siegel93, author = "P. Siegel and G. De Micheli and D. Dill", title = "Automatic technology mapping for generalized fundamental-mode asynchronous designs", booktitle= dac, pages = "61--67", month = jun, year = 1993 } @INPROCEEDINGS{Siegel94a, author = "Polly Siegel and Giovanni De Micheli", title = "Decomposition Methods for Library Binding of Speed-Independent Asynchronous Designs", pages = "558--565", booktitle= iccad, month = nov, year = 1994 } @PHDTHESIS{Siegel95, author = "Polly S. K. Siegel", title = "Automatic Technology Mapping for Asynchronous Designs", school = "Stanford University", month = feb, year = 1995 } @INPROCEEDINGS{Sims58, author = "J. C. Sims and H. J. Gray", title = "Design Criteria for Autosynchronous Circuits", booktitle= "Proc. Eastern Joint Computer Conf. (AFIPS)", volume = 14, pages = "94--99", month = dec, year = 1958 } @INPROCEEDINGS{Singh96, author = "M. Singh and S. Nowick", title = "Synthesis-for-Initializability of Asynchronous Sequential Machines", booktitle= itc, month = oct, year = 1996 } @ARTICLE{Sit97, author = "V. W. Y. Sit and C. S. Choy and C. F. Chan", title = "Use of current sensing technique in designing asynchronous static {RAM} for self-timed systems", journal= el, volume = 33, number = 8, pages = "667--668", year = 1997 } @ARTICLE{Sit99, author = "Vincent Wing-Yun Sit and Chiu-Sing Choy and Cheong-Fat Chan", title = "A Four-Phase Handshaking Asynchronous Static {RAM} Design for Self-Timed Systems", journal=ieeejssc, pages = "90--96", volume = 34, number = 1, month = jan, year = 1999 } @INPROCEEDINGS{Sjogren97, author = "Allen E. Sjogren and Chris J. Myers", title = "Interfacing Synchronous and Asynchronous Modules Within a High-Speed Pipeline", booktitle= arvlsi, pages = "47--61", month = sep, year = 1997 } @INPROCEEDINGS{Sluis91, author = "Sluis, E. van de and A. F. van der Stappen", title = "Performance Analysis of {VLSI} Programs", pages = "499--513", booktitle="Proceedings {CSN} 91, Computing Science in The Netherlands", organization="SION", volume = 2, month = nov, year = 1991 } @ARTICLE{Smith71, author = "J. R. Smith and C. H. Roth", title = "Analysis and synthesis of asynchronous sequential network using edge-sensitive flip-flops", journal= ieeetc, volume = 20, pages = "847--855", year = 1971 } @ARTICLE{Smith74, author = "R. J. Smith", title = "Generation of internal state assignments for large asynchronous sequential machines", journal= ieeetc, volume = 23, pages = "924--932", month = sep, year = 1974 } @INPROCEEDINGS{Smith92, author = "Smith, Scott F. and Zwarico, Amy E.", title = "Provably Correct Synthesis of Asynchronous Circuits", pages = "237--260", booktitle= dcc, editor = "J{\o}rgen Staunstrup and Robin Sharp", series = ifip, volume = "A-5", publisher= esp, year = 1992 } @INPROCEEDINGS{Smith93, author = "Smith, Scott F. and Zwarico, Amy E.", title = "Correct Compilation of Specifications to Deterministic Asynchronous Circuits", pages = "179--190", booktitle= "Correct Hardware Design and Verification Methods (CHARME '93)", publisher= springer, series = lncs, volume = 683, month = may, year = 1993 } @ARTICLE{Smith95, author = "Smith, Scott F. and Zwarico, Amy E.", title = "Correct Compilation of Specifications to Deterministic Asynchronous Circuits", pages = "156--226", journal= fmsd, volume = 7, number = 3, month = nov, year = 1995 } @INPROCEEDINGS{Smith98, author = "R. Smith and K. Fant and D. Parker and R. Stephani and C. Y. Wang", title = "An asynchronous {2-D} discrete cosine transform chip", pages = "224--233", booktitle= async, year = 1998 } @INPROCEEDINGS{Snepscheut83, author = "Snepscheut, Jan L. A. van de", title = "Deriving Circuits from Programs", editor = "Randal Bryant", booktitle= "Proceedings of Third Caltech Conference on {VLSI}", publisher= csp, pages = "241--256", year = 1983 } @BOOK{Snepscheut85, author = "Snepscheut, Jan L. A. van de", title = "Trace Theory and {VLSI} Design", series = lncs, volume = 200, publisher= springer, year = 1985 } @INPROCEEDINGS{Sobelman98, author = "Gerald E. Sobelman and Karl Fant", title = "{CMOS} circuit design of threshold gates with hysteresis", booktitle= iscas, pages = "61--64", month = jun, year = 1998 } @INPROCEEDINGS{Sparso92, author = "Jens Spars{\o} and J{\o}rgen Staunstrup and Michael Dantzer-S{\o}rensen", title = "Design of delay insensitive circuits using multi-ring structures", pages = "15--20", booktitle= eurodac, address= "Hamburg, Germany", publisher= icsp, month = sep, year = 1992 } @INPROCEEDINGS{Sparso93, author = "Jens Spars{\o} and J{\o}rgen Staunstrup", title = "Design and Performance Analysis of Delay Insensitive Multi-Ring Structures", booktitle= hicss, volume = "I", pages = "349--358", publisher= icsp, month = jan, year = 1993 } @INPROCEEDINGS{Sparso93a, author = "J. Spars{\o} and C. D. Nielsen and L. S. Nielsen and J. Staunstrup", title = "Design of Self-Timed Multipliers: A Comparison", pages = "165--179", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, postscript = "ftp://ftp.id.dtu.dk/pub/Async/manches.ps.Z", year = 1993 } @ARTICLE{Sparso93b, author = "Jens Spars{\o} and J{\o}rgen Staunstrup", title = "Delay-insensitive Multi-ring Structures", pages = "313--340", journal= integration, volume = 15, number = 3, postscript = "ftp://ftp.id.dtu.dk/pub/Async/multir.ps.Z", month = oct, year = 1993 } @BOOK{Sproull86, author = "Robert F. Sproull and Ivan E. Sutherland", title = "Asynchronous Systems", publisher= "Sutherland, Sproull and Associates", address= "Palo Alto", note = "Vol.~I: Introduction, Vol.~II: Logical effort and asynchronous modules, Vol.~III: Case studies", year = 1986 } @ARTICLE{Sproull94, author = "Robert F. Sproull and Ivan E. Sutherland and Charles E. Molnar", title = "The Counterflow Pipeline Processor Architecture", journal= ieeedt, pages = "48--59", volume = 11, number = 3, postscript= {http://www.sun.com/smli/technical-reports/1994/smli_tr-94-25.ps}, month = "Fall", year = 1994 } @INPROCEEDINGS{Sridhar92, author = "R. Shidhar", title = "Asynchronous Design Techniques", booktitle= "Proc. of Fifth Annual IEEE International ASIC Conference and Exhibit", pages = "296--300", year = 1992 } @INPROCEEDINGS{Staunstrup89, author = "J{\o}rgen Staunstrup and S. Garland and J. Guttag", title = "Localized Verification of Circuit Descriptions", booktitle="Proceedings of the Workshop on Automatic Verification Methods for Finite State Systems", series = lncs, volume = 407, publisher= springer, year = 1989 } @INPROCEEDINGS{Staunstrup89a, author = "J{\o}rgen Staunstrup and Mark Greenstreet", title = "Designing Delay Insensitive Circuits using Synchronized Transitions", booktitle= "Formal {VLSI} Specification and Synthesis. {VLSI} Design Methods", volume = 1, editor = "Luc J.M. Claesen", publisher= esp, postscript = "ftp://ftp.id.dtu.dk/pub/Async/desdi.ps.Z", pages = "209--226", year = 1990 } @INBOOK{Staunstrup94, author = "J{\o}rgen Staunstrup", title = "A Formal Approach to Hardware Design", chapter= 7, note = "Ch.~7: Self-Timed Circuits", publisher= kap, year = 1994 } @PHDTHESIS{Stevens94, author = "Kenneth S. Stevens", title = "Practical Verification and Synthesis of Low Latency Asynchronous Systems", school = "Dept. of Computer Science, University of Calgary, Canada", postscript = "ftp://ftp.cpsc.ucalgary.ca/pub/users/stevens/stevens-thesis.ps.Z", month = sep, year = 1994 } @INPROCEEDINGS{Stevens99, author = "Ken Stevens and Ran Ginosar and Shai Rotem", title = "Relative Timing", pages = "208--218", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Stevens99a, author = "Ken Stevens and Shai Rotem and Steven M. Burns and Jordi Cortadella and Ran Ginosar and Michael Kishinevsky and Marly Roncken", title = "{CAD} Directions for High Performance Asynchronous Circuits", booktitle=dac, pages = "116--121", year = 1999 } @INPROCEEDINGS{Storto98, author = "Marco Storto and Roberto Saletti", title = "Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication", pages = "127--136", editor = "Anne-Marie Trullemans-Anckaert and Jens Spars{\o}", booktitle= patmos, month = oct, year = 1998 } @INPROCEEDINGS{Stott95, author = "Bret Stott and Dave Johnson and Venkatesh Akella", title = "Asynchronous {2-D} Discrete Cosine Transform Core Processor", pages = "380--385", booktitle= iccd, publisher= icsp, year = 1995 } @INPROCEEDINGS{Stucki67, author = "Mishell J. Stucki and Severo M. Ornstein and Wesley A. Clark", title = "Logical Design of Macromodules", pages = "357--364", booktitle= "AFIPS Conference Proceedings: 1967 Spring Joint Computer Conference", address= "Atlantic City, NJ", volume = 30, publisher= ap, year = 1967 } @INPROCEEDINGS{Stucki79, author = "M. J. Stucki and Jr. J. R. Cox", title = "Synchronization Strategies", pages = "375--393", booktitle="Proceedings of the First Caltech Conference on Very Large Scale Integration", editor = "Charles L. Seitz", year = 1979 } @INPROCEEDINGS{Susskind84, author = "Alfred K. Susskind", title = "A technique for making asynchronous sequential machines readily testable", pages = "842--846", booktitle= itc, publisher= icsp, key = "testing", year = 1984 } @INPROCEEDINGS{Sutherland79, author = "Ivan E. Sutherland and Charles E. Molnar and Robert F. Sproull and J. Craig Mudge", title = "The Trimosbus", pages = "395--427", booktitle="Proceedings of the First Caltech Conference on Very Large Scale Integration", editor = "Charles L. Seitz", year = 1979 } @ARTICLE{Sutherland89, author = "Sutherland, Ivan E.", title = "Micropipelines", annote = "The 1988 Turing Award Lecture", journal= cacm, volume = 32, number = 6, pages = "720--738", month = jun, year = 1989 } @INPROCEEDINGS{Suzuki97, author = "Hiroaki Suzuki and Hiroshi Makino and Koichiro Mashiko and Hisanori Hamano", title = "A floating-point divider using redundant binary circuits and an asynchronous clock scheme", booktitle= iccd, pages = "685--689", month = oct, year = 1997 } @ARTICLE{Svensson94, author = "Christer Svensson and Jiren Yuan", title = "A 3-Level Asynchronous Protocol for a Differential Two-Wire Communication Link", pages = "1129--1132", journal= ieeejssc, volume = 29, number = 9, month = sep, year = 1994 } @INPROCEEDINGS{Tabrizi96, author = "N. Tabrizi and K. Eshraghian and M. J. Liebelt", title = "Dynamic Hazards and Speed Independent Delay Model", booktitle= async, publisher= icsp, month = mar, year = 1996 } @INPROCEEDINGS{Tabrizi96a, author = "N. Tabrizi and M. J. Liebelt and K. Eshraghian", title = "Delay Hazards in Complex Gate Based Speed Independent {VLSI} Circuits", booktitle= glsvlsi, pages = "266--271", month = mar, year = 1996 } @ARTICLE{Tabrizi97, author = "Nozar Tabrizi and Michael J. Liebelt and Kamran Eshraghian", title = "A Tabular Method for Guard Strengthening, Symmetrization, and Operator Reduction for {Martin}'s Asynchronous Design Methodology", journal= ieeetc, pages = "1050--1054", volume = 46, number = 9, month = sep, year = 1997 } @INPROCEEDINGS{Takamura97, author = "Akihiro Takamura and Masashi Kuwako and Masashi Imai and Taro Fujii and Motokazu Ozawa and Izumi Fukasaku and Yoichiro Ueno and Takashi Nanya", title = "{TITAC-2}: An asynchronous 32-bit microprocessor based on Scalable-Delay-Insensitive model", booktitle= iccd, pages = "288--294", month = oct, year = 1997 } @INPROCEEDINGS{Takano98, author = "Kouji Takano and Takehito Sasaki and Nobuyuki Oba and Hiroaki Kobayashi and Tadao Nakamura", title = "Automated Design of Wave Pipelined Multiport Register Files", booktitle=aspdac, pages = "197--202", month = feb, year = 1998 } @ARTICLE{Takata90, author = "Hidehiro Takata and Shinji Komori and Toshiyuki Tamura and Fumiyasu Asai and Hisakazu Satoh and Takio Ohno and Takeshi Tokuda and Hiroaki Nishikawa and Hiroaki Terada", title = "A 100-Mega-Access per Second Matching Memory for a Data-Driven Microprocessor", pages = "95--99", journal= ieeejssc, volume = 25, number = 1, month = feb, year = 1990 } @ARTICLE{Tan71, author = "C. J. Tan", title = "State Assignments for Asynchronous Sequential Machines", journal= ieeetc, pages = "382--391", volume = 20, number = 4, month = apr, year = 1971 } @ARTICLE{Tan90a, author = "Y. K. Tan and Y. C. Lim", title = "Self-Timed System Design Technique", journal= el, pages = "284--286", volume = 26, number = 5, month = mar, year = 1990 } @INPROCEEDINGS{Tan90b, author = "Y. K. Tan and Y. C. Lim", title = "Self-Timed Precharge Latch", pages = "566--569", booktitle= iscas, volume = 1, month = may, year = 1990 } @INPROCEEDINGS{Tan92, author = "Y. K. Tan and Y. C. Lim and H. W. Leong", title = "Speed-Independent Circuit Design using Net-theoretic Techniques", pages = "2973--2976", booktitle= iscas, volume = 6, year = 1992 } @INPROCEEDINGS{Tan98, author = "S.-Y. Tan and S. B. Furber and W.-F. Yen", title = "The Design of an Asynchronous {VHDL} Synthesizer", booktitle= date, publisher= icsp, month = feb, year = 1998 } @INPROCEEDINGS{Tapia80, author = "M. A. Tapia", title = "Synthesis of Asynchronous Sequential Systems using Boolean Calculus", booktitle= "Proceedings of the 14th Asimolar Conference on Circuits, Systems and Computers", pages = "205--209", month = nov, year = 1980 } @INPROCEEDINGS{Taubin99, author = "A. Taubin and A. Kondratyev and J. Cortadella and L. Lavagno", title = "Behavioral transformations to increase the Noise Immunity of Asynchronous Specifications", pages = "36--47", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Taylor97, author = "G. S. Taylor and G. M. Blair", title = "Reduced complexity two-phase micropipeline latch controller", booktitle= esscirc, month = sep, year = 1997 } @ARTICLE{Taylor98, author = "George S. Taylor and Gerard M. Blair", title = "Reduced Complexity Two-Phase Micropipeline Latch Controller", journal= ieeejssc, pages = "1590--1593", volume = 33, number = 10, month = oct, year = 1998 } @INPROCEEDINGS{Terada95, author = "Hiroaki Terada and Makoto Iwata and Souichi Miyata and Shinji Komori", title = "Superpipelined Dynamic Data-Driven {VLSI} Processors", booktitle= "Advanced Topics in Dataflow Computing and Multithreading", publisher= icsp, pages = "75--85", year = 1995 } @ARTICLE{Terada99, author = "Hiroaki Terada and Souichi Miyata and Makoto Iwata", title = "{DDMP}'s: Self-Timed Super-Pipelined Data-Driven Multimedia Processors", pages = "282--296", journal= ieeeproc, volume = 87, number = 2, month = feb, year = 1999 } @INPROCEEDINGS{Teramoto96, author = "M. Teramoto and F. Fukazawa", title = "Test-Pattern Generation for Circuits with Asynchronous Signals Based on Scan", booktitle= itc, month = oct, year = 1996 } @INPROCEEDINGS{Thacker97, author = "Robert A. Thacker and Chris J. Myers", title = "Synthesis of Timed Circuits using {BDD}s", booktitle=iwls, year = 1997 } @INPROCEEDINGS{Thacker99, author = "R. A. Thacker and W. Belluomini and C. J. Myers", title = "Timed circuit synthesis using implicit methods", pages = "181--188", booktitle= ivlsi, year = 1999 } @INPROCEEDINGS{Theobald96, author = "Michael Theobald and Steven M. Nowick and Tao Wu", title = "{Espresso-HF}: A Heuristic Hazard-Free Minimizer for Two-Level Logic", booktitle= dac, year = 1996 } @INPROCEEDINGS{Theobald98, author = "M. Theobald and S. M. Nowick", title = "An implicit method for hazard-free two-level minimization", pages = "58--69", booktitle= async, year = 1998 } @ARTICLE{Theobald98a, author = "Michael Theobald and Steven M. Nowick", title = "Fast Heuristic and Exact Algorithms for Two-Level Hazard-Free Logic Minimization", pages = "1130--1147", journal= ieeetcad, volume = 17, number = 11, month = nov, year = 1998 } @INPROCEEDINGS{Theodoropoulos94, author = "G. Theodoropoulos and J. V. Woods", title = "Building Parallel Distributed Models for Asynchronous Computer Architectures", pages = "285--301", booktitle= "Proceedings of the World Transputer Congress 1994", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/theo_WTC94.ps.Z", month = sep, year = 1994 } @INPROCEEDINGS{Theodoropoulos94a, author = "G. Theodoropoulos and J. V. Woods", title = "Distributed Simulation of Asynchronous Computer Architectures: The Program Driven Conservative Approach", pages = "230--234", booktitle= "Proceedings of the European Simulation Symposium 1994, Volume 2", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/theo_ess94.ps.Z", month = oct, year = 1994 } @INPROCEEDINGS{Theodoropoulos95, author = "G. Theodoropoulos and J. V. Woods", title = "Dealing with Time Modelling Problems in Parallel Models of Asynchronous Computer Architectures", pages = "457--472", booktitle= "Proceedings of the World Transputer Congress", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/theo_WTC95.ps.Z", month = sep, year = 1995 } @INPROCEEDINGS{Theodoropoulos95a, author = "G. Theodoropoulos and J. V. Woods", title = "Analyzing the Timing Error in Distributed Simulations of Asynchronous Computer Architectures", pages = "529--534", booktitle= "Proceedings of the Eurosim Congress", postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/papers/theo_eurosim95.ps.Z", month = sep, year = 1995 } @PHDTHESIS{Theodoropoulos95b, author = "G. Theodoropoulos", title = "Strategies for the Modelling and Simulation of Asynchronous Computer Architectures", school= mcu, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/theses/theo95_phd.ps.Z", year = 1995 } @INPROCEEDINGS{Theodoropoulos97, author = "G. K. Theodoropoulos and G. K. Tsakogiannis and J. V. Woods", title = "Occam: an asynchronous hardware description language?", pages = "249--256", booktitle= "Proceedings of the 23rd EUROMICRO Conference: New Frontiers of Information Technology", month = sep, year = 1997 } @TECHREPORT{Tierno92, author = "J. A. Tierno", title = "Designing Asynchronous Circuits in {G}allium {A}rsenide", institution= caltech, number = "CS-TR-92-19", postscript = "ftp://ftp.cs.caltech.edu/tr/cs-tr-92-19.ps.Z", year = 1992 } @TECHREPORT{Tierno93, author = "Jos\'e A. Tierno and Alain J. Martin and Drazen Borkovic and Tak Kwan Lee", title = "An Asynchronous Microprocessor in {G}allium {A}rsenide", institution= caltech, number = "CS-TR-93-38", postscript = "ftp://ftp.cs.caltech.edu/tr/cs-tr-93-38.ps.Z", year = 1993 } @ARTICLE{Tierno94, author = "Jos\'e A. Tierno and Alain J. Martin and Drazen Borkovic and Tak Kwan Lee", title = "A 100-{MIPS} {GaAs} Asynchronous Microprocessor", journal= ieeedt, volume = 11, number = 2, pages = "43--49", year = 1994 } @INPROCEEDINGS{Tierno94a, author = "Jos\'e A. Tierno and Alain J. Martin", title = "Low-Energy Asynchronous Memory Design", pages = "176--185", booktitle= async, postscript= {http://www.cs.caltech.edu/~alains/memory_art.ps}, month = nov, year = 1994 } @PHDTHESIS{Tierno95, author = "Jos\'e A. Tierno", title = "An Energy-Complexity Model for {VLSI} Computations", school = caltech, note = "Caltech technical report CS-TR-95-02", postscript = "ftp://ftp.cs.caltech.edu/tr/cs-tr-95-02.ps.Z", year = 1995 } @INPROCEEDINGS{Tierno96, author = "J. Tierno and R. Manohar and A. Martin", title = "Energy and Entropy Measures for Low Power Design", booktitle= async, publisher= icsp, postscript = "http://www.cs.caltech.edu/~rajit/ps/energy.ps.gz", month = mar, year = 1996 } @INPROCEEDINGS{Tierno97, author = "Jos\'e A. Tierno and Prabhakar Kudva", title = "Asynchronous Transpose-Matrix Architectures", booktitle= iccd, month = oct, year = 1997 } @ARTICLE{Tinder94, author = "R. F. Tinder and R. I. Klaus and J. A. Snodderley", title = "High-Speed Microprogrammable Asynchronous Controller Modules", pages = "1226--1232", journal= ieeetc, volume = 43, number = 10, month = oct, year = 1994 } @INPROCEEDINGS{Tosic95, author = "M. B. Tosic and M. K. Stojcev and D. M. Maksimovic and G. L. Djordjevic", title = "Speed-independent bit-serial multiplier", booktitle="Proceedings of International Conference on Microelectronics", volume = 2, pages = "829--834", year = 1995 } @INPROCEEDINGS{Tosic97a, author = "M. B. Tosic and M. K. Stojcev and G. L. Djordjevic", title = "Asynchronous controller for token-ring mutual exclusion: delay-insensitive arbiter cell", booktitle="Proc. of the 21st International Conference on Microelectronics", pages = "819--822", month = sep, year = 1997 } @INPROCEEDINGS{Tosic97b, author = "M. B. Tosic and M. K. Stojcev and G. L. Djordjevic", title = "Asynchronous controller for token-ring mutual exclusion: ring design", booktitle="Proc. of the 21st International Conference on Microelectronics", pages = "823--826", month = sep, year = 1997 } @ARTICLE{Tracey66, author = "J. H. Tracey", title = "Internal state assignments for asynchronous sequential machines", journal= ieeetec, volume = "EC-15", pages = "551--560", month = aug, year = 1966 } @INPROCEEDINGS{Tse97, author = "J. M. C. Tse and D. P. K. Lun", title = "{ASYNMPU}: a fully asynchronous {CISC} microprocessor", pages = "1816--1819", booktitle= iscas, volume = 3, month = jun, year = 1997 } @PHDTHESIS{Udding84, author = "Udding, Jan Tijmen", title = "Classification and Composition of Delay-Insensitive Circuits", school = eut, year = 1984 } @ARTICLE{Udding86, author = "Udding, Jan Tijmen", title = "A Formal Model for Defining and Classifying Delay-Insensitive Circuits", journal= dc, volume = 1, number = 4, pages = "197--204", year = 1986 } @TECHREPORT{Udding88, author = "Udding, Jan Tijmen and Verhoeff, Tom", title = "The Mathematics of Directed Specifications", institution= "Dept. of C.S., Washington Univ., St. Louis, MO", number = "WUCS-88-20", month = jun, year = 1988 } @TECHREPORT{Udding96, author = "Udding, Jan Tijmen", title = "{ACiD-WG} Workshop", institution= "University of Groningen, Dept. of Comp. Science", number = "CSN 9602", year = 1996 } @BOOK{Unger69, author = "Unger, S. H.", title = "Asynchronous Sequential Switching Circuits", publisher= "Wiley-Interscience, John Wiley {\&} Sons, Inc.", address= "New York", year = 1969 } @INPROCEEDINGS{Unger70, author = "S. H. Unger", title = "Asynchronous Sequential Switching Circuits with Unrestricted Input Changes", pages = "114--121", booktitle= ssat, publisher= icsp, year = 1970 } @ARTICLE{Unger71, author = "Stephen H. Unger", title = "Asynchronous Sequential Switching Circuits with Unrestricted Input Changes", journal= ieeetc, volume = 20, number = 12, pages = "1437--1444", month = dec, year = 1971 } @ARTICLE{Unger77, author = "Stephen H. Unger", title = "Self-Synchronizing Circuits and Nonfundamental Mode Operation", journal= ieeetc, volume = 26, number = 3, pages = "278--281", month = mar, year = 1977 } @INPROCEEDINGS{Unger93, author = "S. H. Unger", title = "A Building Block Approach to Unclocked Systems", booktitle= hicss, volume = "I", publisher= icsp, month = jan, year = 1993 } @ARTICLE{Unger95, author = "Stephen H. Unger", title = "Hazards, Critical Races, and Metastability", pages = "754--768", journal= ieeetc, volume = 44, number = 6, month = jun, year = 1995 } @BOOK{Unger97, author = "Stephen H. Unger", title = "The Essence of Logic Circuits", publisher= ieee, edition= "Second", year = 1997 } @ARTICLE{Vakilotojar97, author = "V. Vakilotojar and P. A. Beerel", title = "{RTL} verification of asynchronous and heterogeneous systems using symbolic model checking", pages = "19--36", journal= integration, volume = 24, number = 1, month = dec, year = 1997 } @INPROCEEDINGS{Vakilotojar97a, author = "V. Vakilotojar and P. A. Beerel", title = "{RTL} verification of asynchronous and heterogeneous systems using symbolic model checking", booktitle= aspdac, pages = "181--188", month = jan, year = 1997 } @ARTICLE{Valencia95, author = "M. Valencia and M. J. Bellido and J. L. Huertas and A. J. Acosta and S. Sanchez-Solano", title = "Modular Asynchronous Arbiter Insensitive to Metastability", journal= ieeetc, pages = "1456--1461", volume = 44, number = 12, month = dec, year = 1995 } @INPROCEEDINGS{Vanbekbergen90, author = " P. Vanbekbergen and F. Catthoor and G. Goossens and H. De Man", title = "Optimized Synthesis of Asynchronous Control Circuits form Graph-Theoretic Specifications", pages = "184--187", booktitle= iccad, publisher= icsp, year = 1990 } @INPROCEEDINGS{Vanbekbergen90a, author = " P. Vanbekbergen and F. Catthoor and G. Goossens and H. De Man", title = "Time \& Area Performant Synthesis of Asynchronous Control Circuits", booktitle= "Proceedings of TAU 90", year = 1990 } @INPROCEEDINGS{Vanbekbergen92, author = "P. Vanbekbergen and B. Lin and G. Goossens and H. de Man", title = "A Generalized State Assignment Theory for Transformations on Signal Transition Graphs", pages = "112--117", booktitle= iccad, publisher= icsp, month = nov, year = 1992 } @ARTICLE{Vanbekbergen92a, author = "Peter Vanbekbergen and Gert Goossens and Francky Catthoor and Hugo J. De Man", title = "Optimized Synthesis of Asynchronous Control Circuits from Graph-Theoretic Specifications", pages = "1426--1438", journal= ieeetcad, volume = 11, number = 11, month = nov, year = 1992 } @PHDTHESIS{Vanbekbergen93, author = "Peter Vanbekbergen", title = "Synthesis of Asynchronous Control Circuits from Graph-Theoretic Specifications", school = "Catholic University of Leuven", month = sep, year = 1993 } @INPROCEEDINGS{Vanbekbergen94, author = "Peter Vanbekbergen and Chantal Ykman-Couvreur and Bill Lin and Hugo de Man", title = "A generalized signal transition graph model for specification of complex interfaces", booktitle= edtc, pages = "378--384", publisher= icsp, year = 1994 } @ARTICLE{Vanbekbergen94a, author = "Peter Vanbekbergen and Bill Lin and Gert Goossens and Hugo de Man", title = "A Generalized State Assignment Theory for Transformations on Signal Transition Graphs", pages = "101--115", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @INPROCEEDINGS{Vanbekbergen94b, author = "Peter Vanbekbergen and Gert Goossens and Bill Lin", title = "Modeling and Synthesis of Timed Asynchronous Circuits", booktitle= eurodac, publisher= icsp, pages = "460--465", month = sep, year = 1994 } @INPROCEEDINGS{Vanbekbergen95b, author = "Peter Vanbekbergen and Albert Wand and Kurt Keutzer", title = "A Design and Validation System for Asynchronous Circuits", booktitle= dac, month = jun, year = 1995 } @MASTERSTHESIS{VanScheik93, author = "W. S. VanScheik", title = "Externally Asynchronous/Internally Single and Dual Clocked Systems", school = "Washington State University", month = may, year = 1993 } @ARTICLE{VanScheik97, author = "W. S. VanScheik and R. F. Tinder", title = "High Speed Externally Asynchronous/Internally Clocked Systems", pages = "824--829", journal= ieeetc, volume = 46, number = 7, month = jul, year = 1997 } @TECHREPORT{Varshavsky87, author = "Varshavsky, Victor I.", title = "Hardware Support of Parallel Asynchronous Processes", institution= "Digital Systems Laboratory, Helsinki Univ. of Technology", address= "Otaniemi, Otakaari 5 A, SF-02150 ESPOO 15, Finland", type = "Research Reports", number = "Series~A, No.~2", month = sep, year = 1987 } @BOOK{Varshavsky90, editor = "Varshavsky, Victor I.", title = "Self-Timed Control of Concurrent Processes: The Design of Aperiodic Logical Circuits in Computers and Discrete Systems", publisher= kap, address= "Dordrecht, The Netherlands", year = 1990 } @INPROCEEDINGS{Varshavsky94, author = "V. Varshavsky and T.-A. Chu", title = "Self-Timing --- Tools for hardware Support of Parallel, Concurrent and Event-Driven Process Control", booktitle= "First International Conference on Massively Parallel Computing Systems", pages = "472--476", month = may, year = 1994 } @ARTICLE{Varshavsky95, author = "Victor I. Varshavsky and Vyacheslav B. Marakhovshy and Vadim V. Smolensky", title = "Designing Self-Timed Devices Using the Finite Automaton Model", pages = "14--23", journal= ieeedt, volume = 12, number = 1, month = "Spring", year = 1995 } @INPROCEEDINGS{Varshavsky95a, author = "V. Varshavsky and V. Marakhovsky and T.-A. Chu", title = "Logical timing (global synchronization of asynchronous arrays", booktitle= "The First International Symposium on Parallel Algorithm/Architecture Synthesis", address= "Aizu-Wakamatsu, Japan", pages = "130--138", month = mar, year = 1995 } @INPROCEEDINGS{Varshavsky95b, author = "V. Varshavsky and V. Marakhovsky and R. Lashevsky", title = "Critical view on the current sensor application for self-timing in {VLSI} systems", booktitle= "Proc. of the VLSI'95 Conference", address= "Chiba, Japan", pages = "743--750", month = aug, year = 1995 } @INPROCEEDINGS{Varshavsky95c, author = "V. I. Varshavsky and V. B. Marakhovsky and R. A. Lashevsky", title = "Asynchronous Interaction in Massively Parallel Computing Systems", booktitle= "Proc. of the IEEE 1st International Conference on Algorithms and Architectures for Parallel Processing", volume = 2, pages = "481--492", year = 1995 } @INPROCEEDINGS{Varshavsky96, author = "V. Varshavsky and V. Marakhovsky and M. Tsukisaka", title = "Data-Controlled Delays in the Asynchronous Design", booktitle= iscas, pages = "153--155", volume = 4, month = may, year = 1996 } @INPROCEEDINGS{Varshavsky96a, author = "V. I. Varshavsky and V. B. Marakhovsky", title = "Asynchronous Control Device Design by Net Model Behavior Simulation", editor = "J. Billington and W. Reisig", booktitle= "Application and Theory of Petri Nets 1996", series = lncs, volume = 1091, pages = "497--515", publisher= springer, month = jun, year = 1996 } @ARTICLE{Veendrick80, author = "Harry J.M. Veendrick", title = "The Behavior of Flip-Flops Used as Synchronizers and Prediction of Their Failure Rate", journal= ieeejssc, volume = 15, number = 2, pages = "169--176", year = 1980 } @MASTERSTHESIS{Verhoeff85, author = "Verhoeff, Tom", title = "Notes on Delay-Insensitivity", school = eut, year = 1985 } @TECHREPORT{Verhoeff85a, author = "Verhoeff, Tom and Schols, Huub M. J. L.", title = "Delay-Insensitive Directed Trace Structures Satisfy the Foam Rubber Wrapper Postulate", type = csn, number = "85/04", institution= eut, month = aug, year = 1985 } @ARTICLE{Verhoeff88, author = "Verhoeff, Tom", title = "Delay-Insensitive Codes---An Overview", journal= dc, volume = 3, number = 1, pages = "1--8", year = 1988 } @TECHREPORT{Verhoeff89, author = "Verhoeff, Tom", title = "Characterizations of Delay-Insensitive Communication Protocols", type = csn, number = "89/06", institution= eut, month = may, year = 1989 } @PHDTHESIS{Verhoeff94, author = "Tom Verhoeff", title = "A Theory of Delay-Insensitive Systems", school = eut, month = may, year = 1994 } @TECHREPORT{Verhoeff94a, author = "Tom Verhoeff", title = "The Testing Paradigm Applied to Network Structure", pdf = "http://www.win.tue.nl/cs/pa/wstomv/publications/structure.pdf", number = "94/10", institution= eut, year = 1994 } @INPROCEEDINGS{Verhoeff98, author = "Tom Verhoeff", title = "Analyzing Specifications for Delay-Insensitive Circuits", pages = "172--183", booktitle= async, pdf = "http://www.win.tue.nl/cs/pa/wstomv/publications/xdi-model.pdf", year = 1998 } @INPROCEEDINGS{Verlind96, author = "Eric Verlind and Gjalt de Jong and Bill Lin", title = "Efficient Partial Enumeration for Timing Analysis of Asynchronous Systems", booktitle= dac, year = 1996 } @PHDTHESIS{Verlind99, author = "Eric Verlind", title = "Efficient Timing Analysis of Asynchronous Systems and Synchronous Communication Channels", school = "Katholieke Universiteit Leuven", month = sep, year = 1999 } @ARTICLE{Vingron83, author = "P. Vingron", title = "Coherent design of asynchronous circuits", journal= ieepcdt, volume = 130, number = 6, pages = "190--202", year = 1983 } @ARTICLE{Waite64, author = "W. M. Waite", title = "The production of completion signals by asynchronous, iterative networks", journal= ieeetc, volume = 13, number = 2, pages = "83--86", month = apr, year = 1964 } @ARTICLE{Walker96, author = "Jacqueline Walker and Antonio Cantoni", title = "A New Synchronizer Design", pages = "1308--1311", journal= ieeetc, volume = 45, number = 11, month = nov, year = 1996 } @ARTICLE{Wann83, author = "Donald F. Wann and Mark A. Franklin", title = "Asynchronous and Clocked Control Structures for {VLSI} Based Interconnection Networks", pages = "284--293", journal= ieeetc, volume = "C-32", number = 3, month = mar, year = 1983 } @INPROCEEDINGS{Weber92, author = "Sam Weber and Bard Bloom and Geoffrey Brown", title = "Compiling {Joy} to Silicon", pages = "79--98", booktitle= arvlsi, editor = "Thomas Knight and John Savage", publisher= mit, month = mar, year = 1992 } @INPROCEEDINGS{Wei96, author = "Gu-Yeon Wei and Mark Horowitz", title = "A Low Power Switching Power Supply for Self-Clocked Systems", pages = "313--317", booktitle=islped, month = aug, year = 1996 } @ARTICLE{Weih96a, author = "D. T. Weih and M. R. Greenstreet", title = "Verification of speed-independent data-path circuits", pages = "295--300", journal= ieepcdt, volume = 143, number = 5, month = sep, year = 1996 } @INPROCEEDINGS{Wendt76, author = "S. Wendt", title = "Using {Petri} Nets in the Design Process for Interacting Asynchronous Sequential Circuits", booktitle= "IFAC Symposium on Discrete Systems", volume = 2, pages = "130--138", year = 1976 } @INPROCEEDINGS{Werner96, author = "T. Werner and V.Akella", title = "Counterflow Pipeline-Based Dynamic Instruction Scheduling", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Werner97, author = "T. Werner and V.Akella", title = "Asynchronous processor survey", journal= "Computer (USA)", volume = 30, number = 11, pages = "67--76", month = nov, year = 1997 } @INPROCEEDINGS{Wey93, author = "C.-L. Wey and M.-D. Shieh and P. D. Fisher", title = "{ASCLScan}: a scan design for asynchronous sequential logic circuits", booktitle= iccd, publisher= icsp, key = "testing", year = 1993 } @INPROCEEDINGS{Wey95, author = "C.-L. Wey and H. Wang and C.-P. Wang", title = "A Self-Timed Redundant-Binary Number to Binary Number Convertor for Digital Arithmetic Processors", pages = "386--391", booktitle= iccd, publisher= icsp, year = 1995 } @ARTICLE{Whitaker89, author = "Sterling R. Whitaker and Gary K. Maki", title = "Pass-Transistor Asynchronous Sequential Circuits", pages = "71--78", journal= ieeejssc, volume = 24, number = 1, month = feb, year = 1989 } @MASTERSTHESIS{Wiel92, author = "Wiel, Rik van de", title = "An Operational Semantics for the {DI}-Algebra", school = eut, month = jul, year = 1992 } @INPROCEEDINGS{Wiel95, author = "Wiel, Rik van de", title = "High-Level Test Evaluation of Asynchronous Circuits", pages = "63--71", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Williams87, author = "Williams, T. E. and Horowitz, M. and Alverson, R. L. and Yang, T. S.", title = "A Self-Timed Chip for Division", pages = "75--95", booktitle= arvlsi, editor = "Losleben, Paul", publisher= mit, year = 1987 } @INPROCEEDINGS{Williams88, author = "Williams, T. E. and Klingsheim K.", title = "Self-Timed Circuit Elements in {GaAs}", pages = "344--347", booktitle="Proceedings of the 13th Nordic Semiconductor Conference", note = "Conference held in Stockhold, Sweden", month = jun, year = 1988 } @ARTICLE{Williams90, author = "Ted E. Williams and Mark A. Horowitz", title = "Bipolar Circuit Elements providing Self-Completion-Indication", pages = "309--312", journal= ieeejssc, volume = 25, number = 1, month = jan, year = 1990 } @TECHREPORT{Williams90a, author = "Ted E. Williams", title = "Latency and Throughput Tradeoffs in Self-Timed Asynchronous Pipelines and Rings", institution= "Stanford University", number = "CSL-TR-90-431", month = aug, year = 1990 } @PHDTHESIS{Williams91, author = "Williams, Ted E.", title = "Self-Timed Rings and their Application to Division", school = "Stanford University", number = "CSL-TR-91-482", month = jun, year = 1991 } @INPROCEEDINGS{Williams91a, author = "Ted E. Williams and Mark A. Horowitz", title = "A 160ns 54bit {CMOS} Division Implementation using Self-Timing and Symmetrically Overlapped {SRT} Stages", pages = "210--217", editor = "Peter Kornerup and David W. Matula", booktitle= "Proceedings of the 10th {IEEE} Symposium on Computer Arithmetic", year = 1991 } @ARTICLE{Williams91b, author = "Ted E. Williams and Mark A. Horowitz", title = "A Zero-Overhead Self-Timed 160ns 54b {CMOS} Divider", pages = "1651--1661", journal= ieeejssc, volume = 26, number = 11, month = nov, year = 1991 } @INPROCEEDINGS{Williams92, author = "Ted E. Williams", title = "Analyzing and Improving the Latency and Throughput Performance of Self-Timed Pipelines and Rings", booktitle= iscas, month = may, year = 1992 } @ARTICLE{Williams94, author = "Ted E. Williams", title = "Performance of Iterative Computation in Self-Timed Rings", pages = "17--31", journal= vlsisp, volume = 7, number = "1/2", month = feb, year = 1994 } @ARTICLE{Williams95, author = "Ted Williams and Niteen Patkar and Gene Shen", title = "{SPARC64}: A 64-b 64-Active-Instruction Out-of-Order-Execution {MCM} Processor", pages = "1215--1226", journal= ieeejssc, volume = 30, number = 11, month = nov, year = 1995 } @ARTICLE{Wojcik80, author = "Anthony S. Wojcik and Kwang-Ya Fang", title = "On the design of three-valued asynchronous modules", pages = "889--898", journal= ieeetc, volume = 29, number = 10, month = oct, year = 1980 } @INPROCEEDINGS{Wollowski98, author = "R. Wollowski and J. Beister", title = "Precise {Petri} Net Modelling of Critical Races in Asynchronous Arbiters and Synchronizers", booktitle= hwpn, pages = "46--65", month = jun, year = 1998 } @INPROCEEDINGS{Wollowski99, author = "R. Wollowski and J. Beister", title = "Comprehensive causal specification of asynchronous circuit behaviour: a generalized {STG}", booktitle= hwpn, pages = "149--168", month = jun, year = 1999 } @ARTICLE{Woods97, author = "J. V. Woods and P. Day and S. B. Furber and J. D. Garside and N. C. Paver and S. Temple", title = "{AMULET1}: An Asynchronous {ARM} Processor", pages = "385--398", journal= ieeetc, volume = 46, number = 4, month = apr, year = 1997 } @ARTICLE{Wu91, author = "Sheng-Fu Wu and P. David Fisher", title = "Automating the Design of Asynchronous Sequential Logic Circuits", pages = "364--370", journal= ieeejssc, volume = 26, number = 3, month = mar, year = 1991 } @INPROCEEDINGS{Wu94, author = "Tsung-Yi Wu and Tzu-Chie Tien and A.C.-H. Wu and Young-Long Lin", title = "A synthesis method for mixed synchronous/asynchronous behavior", booktitle= edac, pages = "277--281", year = 1994 } @ARTICLE{Wuu93, author = "Tzyh-Yung Wuu and Sarma B. K. Vrudhula", title = "A Design of a Fast and Area Efficient Multi-Input {M}uller {C}-element", pages = "215--219", journal= ieeevlsi, volume = 1, number = 2, month = jun, year = 1993 } @INPROCEEDINGS{Xia98, author = "Fei Xia and Ian Clark", title = "Complementing role models with {Petri} nets in studying asynchronous data communications", booktitle="International Conference on Applications and Theory of {Petri} nets", pages = "66--85", postscript = "http://www.eee.kcl.ac.uk/member/assistants/i_clark/public_html/pn98.fei.ps", month = jun, year = 1998 } @INPROCEEDINGS{Xie97, author = "Aiguo Xie and Peter A. Beerel", title = "Symbolic Techniques for Performance Analysis of Timed Systems based on Average Time Separation of Events", pages = "64--75", booktitle= async, publisher= icsp, month = apr, year = 1997 } @INPROCEEDINGS{Xie98, author = "Aiguo Xie and Peter A. Beerel", title = "Accelerating {Markovian} analysis of asynchronous systems using string-based state compression", pages = "247--260", booktitle= async, year = 1998 } @INPROCEEDINGS{Xie99, author = "Aiguo Xie and Sangyun Kim and Peter A. Beerel", title = "Bounding Average Time Separations of Events in Stochastic Timed {Petri} Nets with Choice", pages = "94--107", booktitle= async, month = apr, year = 1999 } @ARTICLE{Xie99a, author = "Aiguo Xie and Peter A. Beerel", title = "Accelerating {Markovian} Analysis of Asynchronous Systems Using State Compression", pages = "869--888", journal= ieeetcad, volume = 18, number = 7, month = jul, year = 1999 } @INPROCEEDINGS{Yacoub92, author = "G. Y. Yacoub and W. H. Ku", title = "Self-timed simultaneous bidirectional signalling for {IC} systems", booktitle= iscas, volume = 6, pages = "2957--2960", year = 1992 } @ARTICLE{Yakovlev85, author = "Yakovlev, Alexandre", title = "Designing Self-Timed Systems", journal= "VLSI Systems Design", volume = 6, pages = "70--90", month = sep, year = 1985 } @TECHREPORT{Yakovlev89b, author = "Yakovlev, Alexandre V.", title = "A Relation-based Approach to Analysing Semantics of Asynchronous Hardware Specifications", institution= "University of Newcastle upon Tyne", number = "No. 286", month = nov, year = 1989 } @INPROCEEDINGS{Yakovlev92, author = "Yakovlev, Alexandre V.", title = "On Limitations and Extensions of {STG} model for Designing Asynchronous Control Circuits", pages = "396--400", booktitle= iccd, publisher= icsp, month = oct, year = 1992 } @INPROCEEDINGS{Yakovlev92a, author = "A. Yakovlev and L. Lavagno and A. Sangiovanni-Vincentelli", title = "A Unified Signal Transition Graph Model for Asynchronous Control Circuit Synthesis", pages = "104--111", booktitle= iccad, publisher= icsp, month = nov, year = 1992 } @INPROCEEDINGS{Yakovlev93, author = "A. V. Yakovlev and A. Petrov and L. Rosenblum", title = "Synthesis of Asynchronous Control Circuits from Symbolic Signal Transition Graphs", pages = "71--85", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @ARTICLE{Yakovlev93a, author = "A. Yakovlev", title = "Structural technique for fault-masking in asynchronous interfaces", pages = "81--91", journal= ieepcdt, volume = "E-140", number = 2, month = mar, year = 1993 } @ARTICLE{Yakovlev94, author = "Alexandre Yakovlev and Alexei Petrov and Luciano Lavagno", title = "A Low Latency Asynchronous Arbitration Circuit", pages = "372--377", journal= ieeevlsi, volume = 2, number = 3, month = sep, year = 1994 } @INPROCEEDINGS{Yakovlev94a, author = "Yakovlev, A. and M. Kishinevsky and A. Kondratyev and L. Lavagno", title = "{OR} causality: modelling and hardware implementation", booktitle= "Proceedings of the 15th International Conference on Application and Theory of Petri Nets", address = "Zaragosa, Spain", series = lncs, volume = 815, pages = "568-587", publisher= springer, postscript= "ftp://ftp.id.dtu.dk/pub/Async/or_frame.ps.Z", month = jun, year = 1994 } @ARTICLE{Yakovlev95, author = "Alexandre V. Yakovlev and Albert M. Koelmans and Luciano Lavagno", title = "High-Level Modeling and Design of Asynchronous Interface Logic", pages = "32--40", journal= ieeedt, volume = 12, number = 1, html = "http://computer.org/dt/dt1995/d1032abs.htm", month = "Spring", year = 1995 } @INPROCEEDINGS{Yakovlev95a, author = "A. Yakovlev and V. Varshavsky and V. Marakhovsky and A. Semenov", title = "Designing an Asynchronous Pipeline Token Ring Interface", pages = "32--41", booktitle= adm, publisher= icsp, month = may, year = 1995 } @TECHREPORT{Yakovlev95b, author = "A. Yakovlev", title = "Designing control logic for counterflow pipeline processor using {Petri} nets", number = "TR 522", institution="Dept. of Computing Science, Univ. of Newcastle upon Tyne", year = 1995 } @ARTICLE{Yakovlev96, author = "A. V. Yakovlev and A. M. Koelmans and A. Semenov and D. J. Kinniment", title = "Modelling, analysis and synthesis of asynchronous control circuits using {Petri} nets", pages = "143--170", journal= integration, volume = 21, number = 3, month = dec, year = 1996 } @ARTICLE{Yakovlev96a, author = "Alexandre Yakovlev and Luciano Lavagno and Alberto Sangiovanni-Vincentelli", title = "A Unified Signal Transition Graph Model for Asynchronous Control Circuit Synthesis", pages = "139--188", journal= fmsd, volume = 9, number = 3, year = 1996 } @ARTICLE{Yakovlev96b, author = "Alexandre Yakovlev and Michael Kishinevsky and Alex Kondratyev and Luciano Lavagno and Marta Pietkiewicz-Koutny", title = "On the Models for Asynchronous Circuit Behaviour with {OR} Causality", pages = "189--233", journal= fmsd, volume = 9, number = 3, year = 1996 } @ARTICLE{Yakovlev98, author = "Alexandre Yakovlev", title = "Designing Control Logic for Counterflow Pipeline Processor Using {Petri} Nets", pages = "39--71", journal= fmsd, volume = 12, number = 1, month = jan, year = 1998 } @INPROCEEDINGS{Yakovlev98a, author = "A. V. Yakovlev and A. M. Koelmans", title = "{Petri} nets and Digital Hardware Design", booktitle= "Lectures on Petri Nets II: Applications. Advances in Petri Nets", series = lncs, volume = 1492, pages = "154--236", year = 1998 } @INPROCEEDINGS{Yamada98, author = "Teruhiko Yamada and Tsuneto Hanashima and Yasuhiro Suemori and Masaaki Maezawa", title = "On Testing of {Josephson} Logic Circuits Consisting of {RSFQ} Dual-Rail Logic Gates", booktitle= ats, html = "http://computer.org/proceedings/ats/8277/82770222abs.htm", month = dec, year = 1998 } @ARTICLE{Yamasaki89, author = "Tetsuo Yamasaki and Kenji Shima and Shinji Komori and Hidehiro Takata and Toshiyuki Tamura and Fumiyasu Asai and Takio Ohno and Osamu Tomisawa and Hiroaki Terada", title = "{VLSI} Implementation of a Variable-Length Pipeline Scheme for Data-Driven Processors", pages = "933--937", journal= ieeejssc, volume = 24, number = 4, month = aug, year = 1989 } @INPROCEEDINGS{Yantchev93, author = "J. T. Yantchev and I. Nedelchev", title = "Implementation of Packet Switching Devices as Delay-Insensitive Circuits", booktitle= "Proc. Research on Integrated Systems '93", publisher= mit, year = 1993 } @INPROCEEDINGS{Yantchev95, author = "J. T. Yantchev and C. G. Huang and M. B. Josephs and I. M. Nedelchev", title = "Low-Latency Asynchronous {FIFO} Buffers", pages = "24--31", booktitle= adm, publisher= icsp, month = may, year = 1995 } @ARTICLE{Yenersoy79, author = "O. Yenersoy", title = "Synthesis of asynchronous machines using mixed-operation mode", journal= ieeetc, pages = "325--329", volume = 28, number = 4, year = 1979 } @INPROCEEDINGS{Yetter92, author = "Jeff Yetter and Bob Miller and William Jaffe and Eric DeLano", title = "A 100{MHz} Superscalar {PA-RISC} {CPU}/Coprocessor Chip", booktitle= "1992 Symposium on VLSI Circuits Digest of Technical Papers", pages = "12--13", year = 1992 } @INPROCEEDINGS{Ykman93, author = "Chantal Ykman-Couvreur and Bill Lin and Gert Goossens and Hugo De Man", title = "Synthesis and optimization of asynchronous controllers based on extended lock graph theory", pages = "512--517", booktitle= edac, publisher= icsp, month = feb, year = 1993 } @TECHREPORT{Ykman94, author = "Chantal Ykman-Couvreur and Bill Lin and Hugo de Man", title = "Assassin: A synthesis system for asynchronous control circuits", institution="IMEC", note = "User and Tutorial manual", month = sep, year = 1994 } @INPROCEEDINGS{Ykman95, author = "Chantal Ykman-Couvreur and Bill Lin", title = "Optimised State Assignment for Asynchronous Circuit Synthesis", pages = "118--127", booktitle= adm, publisher= icsp, month = may, year = 1995 } @INPROCEEDINGS{Ykman95a, author = "Chantal Ykman-Couvreur and Bill Lin", title = "Efficient State Assignment Framework for Asynchronous State Graphs", pages = "692--697", booktitle= iccd, publisher= icsp, year = 1995 } @INPROCEEDINGS{Yoeli87, author = "Yoeli, Michael", title = "Specification and Verification of Asynchronous Circuits Using Marked Graphs", editor = "Voss, K. and Genrich, H. J. and Rozenberg, G.", booktitle= "Concurrency and Nets, Advances in {P}etri Nets", publisher= springer, pages = "605--622", year = 1987 } @INPROCEEDINGS{Yoneda96, author = "T. Yoneda and T. Yoshikawa", title = "Using partial orders for trace theoretic verification of asynchronous circuits", booktitle= async, publisher= icsp, month = mar, year = 1996 } @ARTICLE{Yoneda97, author = "T. Yoneda and A. Shibayama and T Nanya", title = "Verification of asynchronous logic circuit design using process algebra", journal= "Systems and Computers in Japan (USA)", volume = 28, number = 8, pages = "33--43", month = jul, year = 1997 } @INPROCEEDINGS{Yoneda98, author = "T. Yoneda and M. Saarepera and Y. Ohtsuka", title = "Verification of Parameterized Asynchronous Circuits: A Case Study", booktitle=acsd, month = mar, year = 1998 } @INPROCEEDINGS{Yoneda99, author = "Tomohiro Yoneda and Hiroshi Ryu", title = "Timed Trace Theoretic Verification Using Partial Order Reduction", pages = "108--121", booktitle= async, month = apr, year = 1999 } @INPROCEEDINGS{Yoneda99a, author = "T. Yoneda and B. Zhou and H. Schlingloff", title = "Verification of Bounded Delay Asynchronous Circuits with Timed Traces", pages = "59--73", booktitle="Proceedings of AMAST98", series = lncs, volume = 1548, year = 1999 } @MASTERSTHESIS{York94, author = "R. York", title = "Branch Prediction Strategies for Low Power Microprocessor Design", school = mcu, postscript = "ftp://ftp.cs.man.ac.uk/pub/amulet/theses/RYthesis.ps.gz", year = 1994 } @INPROCEEDINGS{Yoshizawa98, author = "Hiroyasu Yoshizawa and Kenji Taniguchi and Kenichi Nakashi", title = "An Implementation Technique of Dynamic {CMOS} Circuit Applicable to Asynchronous/Synchronous Logic", booktitle= iscas, pages = "145--148", month = jun, year = 1998 } @ARTICLE{Yoshizawa98a, author = "N. Yoshikawa and Z. J. Deng and S. R. Whiteley and T. Van Duzer", title = "Data-driven self-timed {RSFQ} demultiplexe", pages = "361--365", journal= "Applied Superconductivity", volume = 6, number = "7--9", year = 1998 } @INPROCEEDINGS{Yu92, author = "Meng-Lin Yu and P. A. Subrahmanyan", title = "A path oriented approach for reducing hazards in asynchronous designs", booktitle= dac, pages = "239--244", year = 1992 } @INPROCEEDINGS{Yu93, author = "Meng-Lin Yu and P. A. Subrahmanyan", title = "Hazard-free Asynchronous Circuit Synthesis", pages = "87--105", editor = "S. Furber and M. Edwards", booktitle= adm, series = ifip, volume = "A-28", publisher= esp, year = 1993 } @INPROCEEDINGS{Yun92, author = "Kenneth Y. Yun and David L. Dill and Steven M. Nowick", title = "Synthesis of {3D} Asynchronous State Machines", pages = "346--350", booktitle= iccd, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ICCD-92.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCD-92.ps", url = "ftp://snooze.stanford.edu/pub/papers/async/YDN92.ps", month = oct, year = 1992 } @INPROCEEDINGS{Yun92a, author = "Kenneth Y. Yun and David L. Dill", title = "Automatic Synthesis of {3D} Asynchronous State Machines", pages = "576--580", booktitle= iccad, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ICCAD-92.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCAD-92.ps", url = "ftp://snooze.stanford.edu/pub/papers/async/YD92.ps", month = nov, year = 1992 } @INPROCEEDINGS{Yun93, author = "Kenneth Y. Yun and David L. Dill and Steven M. Nowick", title = "Practical Generalizations of Asynchronous State Machines", pages = "525--530", booktitle= edac, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/EDAC-93.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/EDAC-93.ps", url = "ftp://snooze.stanford.edu/pub/papers/async/YDN93.ps", month = feb, year = 1993 } @INPROCEEDINGS{Yun93a, author = "Kenneth Y. Yun and David L. Dill", title = "Unifying Synchronous/Asynchronous State Machine Synthesis", booktitle= iccad, pages = "255--260", publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ICCAD-93.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCAD-93.ps", month = nov, year = 1993 } @PHDTHESIS{Yun94, author = "Kenneth Yi Yun", title = "Synthesis of Asynchronous Controllers for Heterogeneous Systems", school = "Stanford University", number = "CSL-TR-94-644", pdf = "http://paradise.ucsd.edu/PAPERS/yun-thesis.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/Yun-thesis.ps.gz", month = aug, year = 1994 } @INPROCEEDINGS{Yun94a, author = "Kenneth Y. Yun and Bill Lin and David L. Dill and Srinivas Devadas", title = "Performance-driven Synthesis of Asynchronous Controllers", pages = "550--557", booktitle= iccad, pdf = "http://paradise.ucsd.edu/PAPERS/ICCAD-94.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCAD-94.ps", month = nov, year = 1994 } @INPROCEEDINGS{Yun95, author = "Kenneth Y. Yun and David L. Dill", title = "A High-Performance Asynchronous {SCSI} Controller", pages = "44-49", booktitle= iccd, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ICCD-95.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCD-95.ps", year = 1995 } @INPROCEEDINGS{Yun96, author = "K. Y. Yun and P. A. Beerel and J. Arceo", title = "High-Performance Asynchronous Pipeline Circuits", booktitle= async, publisher= icsp, month = mar, pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-96.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ASYNC-96.ps", year = 1996 } @INPROCEEDINGS{Yun96a, author = "Kenneth Y. Yun", title = "Automatic Synthesis of Extended Burst-Mode Circuits Using Generalized {C}-Elements", pages = "290--295", booktitle= eurodac, pdf = "http://paradise.ucsd.edu/PAPERS/EURODAC-96.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/EURODAC-96.ps", month = sep, year = 1996 } @INPROCEEDINGS{Yun96b, author = "Kenneth Y. Yun and Ryan P. Donohue", title = "Pausible Clocking: A first step toward heterogeneous systems", booktitle= iccd, pdf = "http://paradise.ucsd.edu/PAPERS/ICCD-96.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCD-96.ps", month = oct, year = 1996 } @ARTICLE{Yun96c, author = "K. Y. Yun and P. A. Beerel and J. Arceo", title = "High-performance two-phase micropipeline building blocks: double edge-triggered latches and burst-mode select and toggle circuits", pages = "282--288", journal= ieepcds, volume = 143, number = 5, postscript = "http://paradise.ucsd.edu/PAPERS/IEE-10.96.ps", month = oct, year = 1996 } @INPROCEEDINGS{Yun96d, author = "Kenneth Y. Yun and Peter A. Beerel and Vida Vakilotojar and Ayoob E. Dooply and Julio Arceo", title = "A Low-Control-Overhead Asynchronous Differential Equation Solver", pages = "352--355", booktitle= esscirc, publisher= "Editions Fronti\`eres", pdf = "http://paradise.ucsd.edu/PAPERS/ESSCIRC-96.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ESSCIRC-96.ps", month = sep, year = 1996 } @INPROCEEDINGS{Yun97, author = "Kenneth Y. Yun and Peter A. Beerel and Vida Vakilotojar and Ayoob E. Dooply and Julio Arceo", title = "The Design and Verification of a High-Performance Low-Control-Overhead Asynchronous Differential Equation Solver", pages = "140--153", booktitle= async, publisher= icsp, pdf = "http://paradise.ucsd.edu/PAPERS/ASYNC-97.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ASYNC-97.ps", month = apr, year = 1997 } @INPROCEEDINGS{Yun98, author = "Kenneth Y. Yun and Supratik Chakraborty and Kevin W. James and Robert Fairle-Cuninghame and Rene L. Cruz", title = "A Self-Timed Real-Time Sorting Network", pages = "427--434", booktitle= iccd, pdf = "http://paradise.ucsd.edu/PAPERS/ICCD-98.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/ICCD-98.ps", month = oct, year = 1998 } @ARTICLE{Yun98a, author = "Kenneth Y. Yun and Bill Lin and David L. Dill and Srinivas Devadas", title = "{BDD}-Based Synthesis of Extended Burst-Mode Controllers", journal= ieeetcad, pages = "782--792", volume = 17, number = 9, pdf = "http://paradise.ucsd.edu/PAPERS/TCAD-3D-BDD.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/TCAD-3D-BDD.ps", month = sep, year = 1998 } @ARTICLE{Yun98b, author = "Kenneth Y. Yun and Peter A. Beerel and Vida Vakilotojar and Ayoob E. Dooply and Julio Arceo", title = "The Design and Verification of a High-Performance Low-Control-Overhead Asynchronous Differential Equation Solver", journal= ieeevlsi, pages = "643--655", volume = 6, number = 4, pdf = "http://paradise.ucsd.edu/PAPERS/TVLSI-DIFFEQ.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/TVLSI-DIFFEQ.ps", month = dec, year = 1998 } @ARTICLE{Yun99, author = "Kenneth Y. Yun and David L. Dill", title = "Automatic Synthesis of Extended Burst-Mode Circuits: Part I (Specification and Hazard-Free Implementation)", journal= ieeetcad, pages = "101--117", volume = 18, number = 2, pdf = "http://paradise.ucsd.edu/PAPERS/TCAD-3D-part1.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/TCAD-3D-part1.ps", month = feb, year = 1999 } @ARTICLE{Yun99a, author = "Kenneth Y. Yun and David L. Dill", title = "Automatic Synthesis of Extended Burst-Mode Circuits: Part II (Automatic Synthesis)", journal= ieeetcad, pages = "118--132", volume = 18, number = 2, pdf = "http://paradise.ucsd.edu/PAPERS/TCAD-3D-part2.pdf", postscript = "http://paradise.ucsd.edu/PAPERS/TCAD-3D-part2.ps", month = feb, year = 1999 } @ARTICLE{Yun99b, author = "Kenneth Y. Yun and A. E. Dooply", title = "Pausible clocking-based heterogeneous systems", pages = "482--488", volume = 7, number = 4, journal= ieeevlsi, month = dec, year = 1999 } @COMMENT{ ==================================================================== } @COMMENT{ End of file async.bib } @COMMENT{ ==================================================================== }