• ソースを書く


newfile
図のように選択して新しいファイルを作成します。
   newfile
この状態でVerilog HDL Fileを選択すると新規ファイルが開き編集出来ます。